From 80ca0b18a9256c7fb19fc6e0b8b053788582659c Mon Sep 17 00:00:00 2001 From: apan64 Date: Sun, 17 Sep 2017 18:46:14 -0400 Subject: [PATCH] submitting hw2 --- adder.t.v | 23 +++++++++++++++++++++-- adder.v | 23 ++++++++++++++++++++++- decoder.t.v | 6 ++++-- decoder.v | 17 ++++++++++++++++- multiplexer.t.v | 23 ++++++++++++++++++++++- multiplexer.v | 22 +++++++++++++++++++++- tests_waves.pdf | Bin 0 -> 80883 bytes 7 files changed, 106 insertions(+), 8 deletions(-) create mode 100644 tests_waves.pdf diff --git a/adder.t.v b/adder.t.v index 76109ed..e5c9fed 100644 --- a/adder.t.v +++ b/adder.t.v @@ -6,9 +6,28 @@ module testFullAdder(); reg a, b, carryin; wire sum, carryout; - behavioralFullAdder adder (sum, carryout, a, b, carryin); + // behavioralFullAdder adder (sum, carryout, a, b, carryin); + structuralFullAdder adder (sum, carryout, a, b, carryin); initial begin - // Your test code here + $dumpfile("adderTest.vcd"); + $dumpvars(0,testFullAdder); + $display("a b carryin | sum carryout | Expected Output"); + a=0;b=0;carryin=0; #1000 + $display("%b %b %b | %b %b | 0 0", a, b, carryin, sum, carryout); + a=0;b=0;carryin=1; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=1;b=0;carryin=0; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=1;b=0;carryin=1; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=0;b=1;carryin=0; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=0;b=1;carryin=1; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=1;b=1;carryin=0; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=1;b=1;carryin=1; #1000 + $display("%b %b %b | %b %b | 1 1", a, b, carryin, sum, carryout); end endmodule diff --git a/adder.v b/adder.v index d21f7e4..9a55fe8 100644 --- a/adder.v +++ b/adder.v @@ -20,5 +20,26 @@ module structuralFullAdder input b, input carryin ); - // Your adder code here + wire ab; + wire acarryin; + wire bcarryin; + wire orpairintermediate; + wire orsingleintermediate; + wire orall; + wire andsumintermediate; + wire andsingleintermediate; + wire andall; + wire invcarryout; + and #(50) andab(ab, a, b); + and #(50) andacarryin(acarryin, a, carryin); + and #(50) andbcarryin(bcarryin, b, carryin); + or #(50) orpair(orpairintermediate, ab, acarryin); + or #(50) orcarryout(carryout, orpairintermediate, bcarryin); + or #(50) orintermediate(orsingleintermediate, a, b); + or #(50) orallinputs(orall, orsingleintermediate, carryin); + not #(50) inv(invcarryout, carryout); + and #(50) sumintermediate(andsumintermediate, invcarryout, orall); + and #(50) andintermediate(andsingleintermediate, a, b); + and #(50) andallinputs(andall, andsingleintermediate, carryin); + or #(50) adder(sum, andsumintermediate, andall); endmodule diff --git a/decoder.t.v b/decoder.t.v index e0e925f..216085e 100644 --- a/decoder.t.v +++ b/decoder.t.v @@ -7,10 +7,12 @@ module testDecoder (); reg enable; wire out0,out1,out2,out3; - behavioralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); - //structuralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); // Swap after testing + // behavioralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); + structuralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); // Swap after testing initial begin + $dumpfile("decoderTest.vcd"); + $dumpvars(0,testDecoder); $display("En A0 A1| O0 O1 O2 O3 | Expected Output"); enable=0;addr0=0;addr1=0; #1000 $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); diff --git a/decoder.v b/decoder.v index 17836e0..89d6560 100644 --- a/decoder.v +++ b/decoder.v @@ -17,6 +17,21 @@ module structuralDecoder input address0, address1, input enable ); - // Your decoder code here + wire naddress0; + wire naddress1; + wire pos0; + wire pos1; + wire pos2; + wire pos3; + not invaddress0(naddress0, address0); + not invaddress1(naddress1, address1); + and #50 (pos0, naddress0, naddress1); + and #50 (pos1, address0, naddress1); + and #50 (pos2, naddress0, address1); + and #50 (pos3, address0, address1); + and #50 (out0, pos0, enable); + and #50 (out1, pos1, enable); + and #50 (out2, pos2, enable); + and #50 (out3, pos3, enable); endmodule diff --git a/multiplexer.t.v b/multiplexer.t.v index fd475c4..a956e68 100644 --- a/multiplexer.t.v +++ b/multiplexer.t.v @@ -3,5 +3,26 @@ `include "multiplexer.v" module testMultiplexer (); - // Your test code here + reg address0, address1; + reg in0, in1, in2, in3; + wire out; + + // behavioralMultiplexer mux (out, address0, address1, in0, in1, in2, in3); + structuralMultiplexer mux (out, address0, address1, in0, in1, in2, in3); + + initial begin + $dumpfile("multiplexerTest.vcd"); + $dumpvars(0,testMultiplexer); + $display("A0 A1 i0 i1 i2 i3| out | Expected Output"); + address0=0;address1=0;in0=0;in1=0;in2=0;in3=0; #1000 + $display("%b %b %b %b %b %b | %b | False", address0, address1, in0, in1, in2, in3, out); + address0=0;address1=0;in0=1;in1=0;in2=0;in3=0; #1000 + $display("%b %b %b %b %b %b | %b | True", address0, address1, in0, in1, in2, in3, out); + address0=1;address1=0;in0=0;in1=1;in2=0;in3=0; #1000 + $display("%b %b %b %b %b %b | %b | True", address0, address1, in0, in1, in2, in3, out); + address0=0;address1=1;in0=0;in1=0;in2=1;in3=0; #1000 + $display("%b %b %b %b %b %b | %b | True", address0, address1, in0, in1, in2, in3, out); + address0=1;address1=1;in0=0;in1=0;in2=0;in3=1; #1000 + $display("%b %b %b %b %b %b | %b | True", address0, address1, in0, in1, in2, in3, out); + end endmodule diff --git a/multiplexer.v b/multiplexer.v index b05820f..45d06dd 100644 --- a/multiplexer.v +++ b/multiplexer.v @@ -19,6 +19,26 @@ module structuralMultiplexer input address0, address1, input in0, in1, in2, in3 ); - // Your multiplexer code here + wire in0nadd0; + wire in1add0; + wire in2nadd0; + wire in3add0; + wire or0; + wire or1; + wire int0nadd1; + wire int1add1; + wire naddress0; + wire naddress1; + not #(50) invaddress0(naddress0, address0); + not #(50) invaddress1(naddress1, address1); + and #(50) andin0nadd0(in0nadd0, in0, naddress0); + and #(50) andin1add0(in1add0, in1, address0); + and #(50) andin2nadd0(in2nadd0, in2, naddress0); + and #(50) andin3add0(in3add0, in3, address0); + or #(50) ornadd1(or0, in0nadd0, in1add0); + or #(50) oradd1(or1, in2nadd0, in3add0); + and #(50) andint0nadd1(int0nadd1, or0, naddress1); + and #(50) andint1add1(int1add1, or1, address1); + or #(50) ans(out, int0nadd1, int1add1); endmodule diff --git a/tests_waves.pdf b/tests_waves.pdf new file mode 100644 index 0000000000000000000000000000000000000000..968fa7d7cd15e98eec9de212e2a652bb63a7cba6 GIT binary patch literal 80883 zcmd42by%C-);?IH6e~qitUzh;;O-PJ?oOb%yL$yGUfkW?HAss~A-GF%cL^4l(Ds#c z-t)=K@0z)WD}N;WdA2RN*S*%>kjV*)(lXMqAd|IawKcZYw51|5y<~W4t!IYJ!$U7+ zXl3kR@{$?eqChWdYT;mLM=xrj>tHBssBdjx$j66l?_g)BYl-ZV6!L}9{2?Q%uk$T( zzb{9GY=!4L9-o>)tNTL!!}-!o*<|WRP~aR{h$%DnCq&*R3Qs{<8#}}|p5YI&7{A&s zYw!Iy))L{^n=RlB;1fbF@;kfVC-%V7dS>FIQuez+b|va=$8Z!0Pjkp#dVRHK+x^j` zH^UnUaUGRzmHQOx$~mSvs7;HW`!TZaepmDxtsKk;amtVt{nV@u4zm0&CH?lnTKYEu z7Kt!{P)Cg3?}UJJDKm4 zK4(_sZD?_{1v3*N;?~-~kVSm*?Bz2j><3SJGaev6>CSi+__6{)07IhUji8#)`)3uH z5e^aa!*d+W^Y-@c;tX#t`=>9IhxdXg+S812{dD0U zGyFx`P*C{YS6tC@jQOP^##IWh>a)mCn`AqIsBH}JkAwsoe(YjzDChF4@f2#N3Fla# z_?1zPS#NGE>R;~|_V*S^!)&*~mE;9Q!SO8aJ$|q89!qBSU3;B=gf`2JvrUz#B{kT` zNK|iZpe1L{Y*eC)a7?quhU1-W}OKj{!a3wz}U=|`3hdX zg_WY`xmhK7^itfkX$2jr!-oCU0Jhm@i)n{;hgIA(^r%06@MdpR*JSb$Qz&1Qx< zF_wiay&1jMD(IK3aQpV!U6wbt)UhnQnVqJ&6T=L*`kkFcD)%nK5}!*G>T9}AM_4Ot zufFOun;tYKa?#9oGxu(Qm8J7t9lYwpO%DoNGqGeJ)LLK`V_loWVt0(;Hzv9$yRMf4 zRc-p4i^TX|AjPEcI&Nn#RdCZtsj+Ia+8djdpNd(^ER`r*`)W?aF}Qbxp3@{7rfYki z9{BlUoUL=8CYREi3R`3TQc$hEburWG3ynMlX>EHJkg?M&;M5dMTWmI5K`4ebkiv~s zX+MNJmc)yfbCejOUIk>^+?n#xU!bXV$V5P_2(IB~+#Z(gAWs52YznrfpE4^IxVl>w zDs2RIo>;Hvb<$lQwRZqj6(aQXBgWPq?*x*G;M5A|b(RFvmfhs_^EKLB2P^N3`7|91 z)l~CtS$EP9WF~;u8^(`n8!|>GQ%8DjO!6QRh=Hsh z?Xdkc&0cZ1+1V_&uhq6G6)-Z-&s4F~RS!DIsx~So9q7iHZN&s}T~!A)plrA8Zv@t& z^CujK(kbLWzi~O<>or#CqLKHd3#s-}$PrX!A+=5@N~k!LI;6!|Pm@HwI0SY@6XK2< z3e)4Vug^&0@P)kqGjM4dn?~T!NZa-19CtVuXG5YF;6sETpSlU{l|wrTAU4Zg>HbIV3tY7p`INA)Q$can|j` z?V6E6zu8D`Rr)wS(=eM8F-uGnT|_47c1Tr>ewL=(QNB-UO!l&R z^kZXU?f11U=Z?l%g3XB%jqz$C$!o6<5~t%IP>bm-A&uoRY`H_)H+q-2l2;=e!_Wc6 z5KTPueaDXYa&EpPYi~NNVu;})dcB*u^|k91$JopjvxD32>h6g02%jWf1&kP}- zoYcHaN!|I@2g8_ZismM_t8nIc{3gc~d|sWO9*?`;p^aV1RI9*POib1Djg**tCgk&2eNcZxxgHY_>6=LM~#5f>!TD`>ailU1&SOkaEjX+ z7$MqGWQHt=(>Tnd+)gx4f>nRH=fOy^j`_zagWmq03ZB<}#|1K~-=$>x2Bg?G9B*rQ zo#(1SmCE|_SDf3up?LbV(Xxf~Ls}PRMjPJ=siwMOLyjkyc!FkkiZTQpqd|=HP*Eq*%N82h>(pBoJjo)Iph#L?m+QdN2^m6Fr}zwk$eX#w z!Ovk%C2?s9SD=PdJrPfVong5#O+@D1R9C9EYMeEVz%9v9aRTx}BWwOf620%5XOTnW z_Jc=%zH`A~9W3!|B@aA&6bX5$yzrmc}>+I&b`|wP1 zt2KvKt%;d$#%=CLGTA{4-|~5lv$1T2QXV6QwU~Ot(ri|HsilcDQa$3wkmO6qX#|nltT{(s9{nh4T(kcEOH7Qk;%E zLoHH^{iNE*t z45oP%XKo9q@?8N;(~n%r8@e6!%5$iLP%RkgX0`s z_Jp8@j@ch{NH;r!=5bLPhTzsve{quNHXK*8f+5y2rX?3T+UN)^x!+H=y6pxJ%w|m@LHpGJtWoST*uT3Rt*T(AM>pk4zg;lLNjHa>eDKNJnURD*i zM)X~jFOTo>L)Sa}9Xp(~BxEYnCJbQprcJzLJy(kts*<6h7KnYXzz}D#;S_}rzcNr3RR)#sU+y32@3fq1+)C5&u(U2T)Vb!i6!XT1JMyybUao$^K+h0* z6oMC&R@6!;hc#D`rHSiZWPI4!|e&6GSoJGR;?CIvuuQ|7g z6RRzVS3b!IvuP((YPYvb{T)7hJ`Ftt=3)e z9nR;sErZ?XprV!ydLuFVn}GBcT zw*PYGY4lB}^{`#<;Rfff)Qz*ZDN1N!ea4GYt5OT;D`?9}=PgY2$RF|{=%X1~?o$w> z)AqsxSgNLTYyEDi(ObvuKyOcyg8oQi<4T~4=&ED4n}|xnT5E0LS_m;`S*3Gi%CXCxDm%iJeG&&`k!?}pO)M96FV0W*E2WJ1{MqTz*eX$=`X z?QfC_*3+6HLRzw+nuR1lSr|`lOQ~ihn=HL-ef@UF8!^jr6+5^FM)+x-maGUoxV>@J zQm2>XsIa}!G|!MlD)NKA9J{a|yiYG|PA65^f>RbQ*HltR!M|307Gv36yt{!VVu)Bm z%kPSSaJx9x9kwFa|4ntze*&Lfkf))s0=9KA*7opFWVD1T_r)!Sf7SZy25zqW4fY)y z-3DOK;RYjTiJGR($j50l4GpvJlTe-H7d-s2k%}0#X%ovKx$`zIbVH{rYHow9r>bW` zphjZNn3yKrv`lBi7Tp+V(&zh%AhA%wRDo0jW6x{SOT*PbJDS^G%DRTU)ZNkYRjBJ) zfBz>!Pr3pXyRWt#?U9EndQgaGo_ZmF>21%MqDQM~x#hFhV;7aQrG!d4p?3L@n#nvF z)$6${;vA4V=8oY7;`c%63-YVSAKRLr&aUl5A$alO`}nI59@C8jW27;0}tJPL?`=R!n_?_B=SVM*J!FGd+Mdfx&R>AQ1@*ej}v!))rN+Y7v@;KjSYG>g+>v^VMw;q?E&ZhD2P%PYSq8$uyK1|z% zO7zh|q-IYPGnl{`qWV&E1e{;1o0)S(Zk-{f!7Wi5=egzQI}u$klAgK!_|ToA57p}9 za^CsDp6IMKF~!aRA<}mO^$zs(HrO&RuSdg8lnN#!eYDrYlv{Ojo6y^wP2vneDJGm9 z2CSYWdcCZkG+;`A6X@IX`BZK$9t2B_!|zE!bY$yn%FZJ2t&UmOBw63A)30f+WuWMY zT{i>jEWWR4I6#y8IBvnt$V66?AS4L;y8az!){`;}l z^DU5I7?L>B5K4;0)KU2%0eTlj=S~8DRrPIUpSO*SX4E-oR_4>6F^>{ai2q*i{n1GcYk)L_8IHv(2hdr1?uGi2Uzd* zKgRjI8|dX}MD&*9u&1nMuFWYq`06_PDWRzMa~$Z@#v5_7De|&lwj1r679EdvD#Yj6 zT+SlDa{C;@Y{w2C&k;Abvv|)z^$E&xYtTu&=;jDT*zR22Npi8wr9)7ANjIQAqg4g_C=K47?E>J-LwVO< zMFI}5*Rciu)8|)LQ_UM+`ydPaX;+keI@~*f;}8MsfER~y+}p-wbB!~nUQRoYokZXx zfeb`Q(0c*(dXYNv-Jk3_$XoQX4{p!(RaXMFhVp+dsvD4a9Vkc?bvGB#7ZeT(2il3` zmQb$z+rqJ(M1BsL+>r4FaWzn@yr=Z;9r(!Q3e>xS*&)vZ7D_i*C=uUq;$GgDd-~pv z;pAc3i#JUUBKDagda4fiQShIruzP>K0iSG0{LeS9nrT~ROG;a6EUI!7qS?tb0lw+#cxE~b^Z}Pos z>bYxT2!unP1JB9LdmQwFLU5zkmq|df|Nq!aIun?HyrdHBkr!=QdZ2_1L2vEv4C8<3WUtP-g;s<#>;-j#qS3)yK zG8-oKtJW1YJd1OlO9`&@C);-3@7{Zdv4`gMZ*7F%5Pf1Z#x1U;yy4vOg8l$djRAu& z!5PP2{xRpzW!U_=vK)a1J=@j-k<^>0h+OuqlKtetxh6^B#?A`0M2=kNO9lst%DFyo}Jdq1mYT$Mh)!!vu7++uQTH86La-WV+!q5v7)%!*kvz z&@u2=dAKbQ{Zm_a3d9cmLp$GC&N)pimv;8%Wi9*I;!oFc&aCFSw~_`_9$0&Dj`mHA zBN5WVbJhN9F+!R=o3MtgdftT7I4rEIv$pwNF;92X^})52wlnP6UT@?`GY8)THt$7XSCGt^@M?Obb}7!-?W|`8h2Ff*i!R3E($Jmvt^d-F-j|rXRma#F za~FshKU$C2@zm!Mk0=HvREt~lZeTSslur($EAkWW*~8%&v5(MEMDg3A_aoMQUNSDp zT)s-9x)$iyvFjIA5B}#}kqihB9EZ~44;6a)Ykz|Y`8D!f>?b$vfQBrnxoU4t#kife zE`A6-yy4hFYEh}>M+9BiA%cdcpXxBZJ6QVcq|2VsaD4ZT$-U$JJcK_L(FQKs$ z`M_-)p^Y{d`A&qmRy^;?hX5g*h{o5ZUmxDY$j_$EBo3H^GYN=(@ZY>G(2zlH+OhG4&TB6w(GB6$+fli3 z+CbBanyd0`%>UsmDfIo1Azg11&3U-H)?Q1G$51qaWwn_1eZ4??=%@$#hn?XI8V29y z`Q0jk)Q|U^|EtZv`Q*^C-#G>FtHT_%vnly~Tw~5>k>URkuKLCm#YUp1Y}#{WN6&KV!wL z%RMx&ry7YIU@%m1rb5~XcH!HU2o2@Rt&ILkp!4+fsM=9btgjEO$9yY~h(ZlEcM=i# z277uZz$u$$fnS5z6}5)_U;@*8gg@S$pW4-5?;utynbjwBM$54YJogYEtF)8k}MB-$~W>>2#d4#n5N%UG5X) zJz}Pn)gJHt9mD-VBY4s0w3L6kToHmujDOH2FMD3y0OQ=~jfGR1t%u&G>b4RKu^ENX z)j4?J8u{^GONMgo3efSg9LRUuwHV5UQ|0nHL5M@LKTKQs-pih!~;vjukb=o zNw+i#oO=9gQRV+5B)B6=pC}D+{Y(!=AHhjhhgqu*^ygB3Bfzf`Pn3(6y!T@Af-Ab3 zH*D`VSC$ZFS37=4KXH|uBA|rAj}6OM7P2kHKELzJkjWI~`5WrZ zz)+(9a$O%l0QV znF42AJ!HnT@Eq2%`9bEL%D+dYvp8R}abPW-%=vdJ8GhhDP& zRTk`a$DGyG!s;b4;UOT4KJkabJSN85! z^8StYY(&9rF%6fCmXk>Oe>6Kt>ZbwTn(OHMP*=^E`szmdb6;0~Yg|GnkxIt>$qbC9 zY3B{VEWbf_U*zrl)OKyj#?*GdEku(aad*sgqv(@5ATf1sxHHzhwv10Ww!ACw>v9DU z+4qX@r?>qAzu)-=nOBS6!&kq+q+X zW4|*SIeJie)zGW4`rlPtmfg`NU?3ltn)}u~z}x_mo%Z67H0L+g+(mtvkN=+3mH{h( zTuA>u`qz@~k`2<|`I8b!Zqx@`%Q|DY!~fd@F{?N#Y~V6#jM_FC6RMi%tN> z{6%Y?x*g|ca6kVK8IOA;JmY!13eVTzdG@teqqIGqw5DW^;o@g5KS2>oE`S*(9vjfRT4b8x;BiEr*1OtiVrXkza-I6ylE!6Tf6qNO&oVafljDlR5dVzUaPOm zaND@I=lm-yIX;0y$(}mgf4Qd0q2I6`>tZDFUH6> zLNWpTGkRcc+k+UXtJ6RVa))R3O&MC=r4o_vfBC?}cZ6_J`ME!bA0h~rsx~$_*#xPy zO_1h?G@QWYmFSGhtzts&ovCpZHfcrW-8uUyoscwNP<6Cj%;6CKz^kG}aFd4PIxKCi zDOcEdbJTbo6$&M)(`a8$TfKBwPI&Yi+wc9&4z4&&Jg4_eg}^mcv%c;#V%qAC!#A8J zmFn)cb9ve~_d%C_sGgR?K>`4XK;mgEd&@li*O8g7T1OiLt*L z>24^n_w+P@1><+n`@4~DH0zXmh2I(QKXnOr>0+QLBWfGvOFAh)%TNZcU%sW;1MsVs zAaKIJmm}W0!HWpf@Pn0Ez^?zF zxgEGA^jZ_K=|WMy!>}{y6Y~wrkNe(zlzWiffzoeH;(zne)q9fw_&L+PJ@xlFLje1* zQg83&Js9!R!-IFKO2~h%>TAhoUDZc$--6f3{7!h8Q});N>rukK-jsSL<*`l0Xlsm5 zTn&xolZ_Lz(1fzyA!yJFk%o%Z2AUkNUeJ}>;_ z{-@t5qK>bMbP3J4&kq5_s*&85DSvYKpA-v@oPSF0Nt5>B*7P@84K$s|EViv-s4vuF zo^eMly%=3I%xsrm&pl_z;yW+yv_J&4gNU?;Fiz?HeTwb9I$)-D;gEqR^K9WoB{vf* ze(`*F2_^m?pkR0JPvK2M1hz+-MLf;r>H`p+b z)J83Ec{*Lx(s*&|bw6dEuetcs>*YYpzEQ-R;fkfG<{JB{oj}3GUnKGGJ78(pS1r;Z zbrQ*iXFY$^_&^wKB~O0bS!+>k32q1cAd`~21nn|}xPyU#^#dq;p#voSSH16#ir@=X z8q2D;j(fjvVM%ktJt-sX>3B+ma|iyEJ=MSWfGZiC5&8c(bRH@8Dgki({!wlGLR|kX zM~+h2g2%2+DqZfbsQ9lA3OB5hCngRcc~29A?KF08>VmSju@#m_-X|y(FnaHsCO^om zr?k%8zeLKPuKlYgGN_!=XmL_K^#xCSM7*t)^GYVs9xPU;$VfBlZ?W~S9{lHhJ7Ey9c2%o$t7Jl-97NP(thzebv)mUG+5T|3)pwJC`*76w02+#_cKl-OKE~+2 z%bZh;0za{(s;PSlDknWoyxrP?p0_GKO)zM3?%>|(IfWLmAf4x+{Z#^n!xi||-#xI8 zj`q(uXbIL6ANU}Sj&PFfvEf&K$^7;XwydXr#lkzf^m{u*s^4ds4)&G<7a7Nw16BE+ zobA=}bT|>!Z@8GaL%183^4J8dUo;g0V+{7u_X!5Ahd(4m;P?Mb7Mo2U{ys#2N5Y>f zd*;@(G*JSKQ+8ib^rGXJ)CxxJb9=3=rim^6`v)vDjig; z6TC5h7Otw2T;=_A+G1Q=i@hM$`%P>!iI7g>iJn}C{h$$SwZ9aKa&U5XXi4}2Pt}4g zv7(mGbs~x+HA}Vh7v1`&Oz`KRz;XJYDf2&-=G5V~9VmZy$6>@|d0I){KSPV}{|}z( z+(*?!L5EsvEL6}Gy9_?_KO^UowGAQf^F#8^ucqRG-S@7KI@|Ow<83&bbmg4 z55gGSXvnx6>>d}ezEM)r4Zk_cE#;rDjs`pOEf7JZFPKC$|J7;Xw)k^qxM54D17l{T zKJ#JQ^RWV`JdfZ1UQ|A0Mm4xk`Z#}<62hxkPolS(MZ-VFA)qk9Y`bn#B2C4 zb$2-r9Mqmo0;JV75nXFk2`eY~^rsu1g@s1y1({C(`X4(wxosg_9M>u@s}QxA7OkNw z@Diy;ZSO0aV*clmPVY(!L&k>}6_O|@XQ2m&?o=9}z7n<2wUyDmUF})NOgF^mWOsps zo$O~+g)iVo%w1Ij?*IS0sG8SSk|!NE)L(p6)V}43t#McR^iaGbuwL*8_@|V7v`bwa zcYi!D_A3=vAaLA-69~3_T&JSJal}lq>NDbU-VqbFH6qmAm*N0;<&rNWXyQFI(y?W( z|I`|XcG`o3ij|%J>bg14*fV>ap16D6R%GZu?fE|tSq0GeK^4wK$krLyKw>{x{DO>Ejq^K{84p@6-iw7oy<^v=443nqyJQ0f zXJq$h?*b*;q3nVRv11-QtwPt4k|ato*!g^`g9iC$FS^o@AUn zl^FUgfGupHR*pds6E~?ZJBllb?LJ|WA#X8+>ny9u%l zOOp^m`FdH++6lnVAaX6yPQ&|dZ&qZviRA+zeaA53hFhHn0l6YLuTa^*Tz%9c zl3_@DQ;lZ=`$lOqhrh_vz0WIPrqJKEo5gFSax*wmo-s% zS7LPYn6r>Lz9$e-4k|8dN3Ed`F@oYP;-Me1+cRmT8>$(4RJ^);{(i z;f?OBxi0!_%O6s}k*aA+(^N(?C^+ciiFEl`riA18fcMo6Yvaw;K}OzuaiIp*cn^Q$ zo7(5T&Z^}A9|d=pJ=X`O=$x+pj(Q&qdyNENH_Nar4NB_+eTL1S7OzZk#dSn$_;FWZ zBg|@V=_*qOIUU+hD5_CBCXg2afYqhLspky8nV5}e6f%q$fw}$EWphU51Sn0RTi=g$ z-j3E*NpPe`Tth$tQ2^k2C`AnwfSSJv;K zNH2ZrsNSiUj)lMEwHBaI}qw-cm*vO3_CRtB^?VY~m6~PBnvO5o0Bmtxv9eD9&jZtvJJnlYFn3 zVpxFmA;;TTDkCmTZ^BZk2J6y%`| z=n|BbP&%+%?)4HAURC-OC1m^?k3GM7X`!G*R)WM#8)e;K!+Y#z(_Dm2JbctiLqV$G z#llH5g7(<)T9XPhLLBwPb>#rb(x51x2rGKihux7ktByC%h!|h*a*Ds5W$kA+-J$?< ztlysJ-OLf2H$IvUVL>b6t(2wg4b-CaK8T4a@OQRZ#M>N~-xSJIB;VNFO+E0u#ME

G9Wy8(11I_v%vCEJk%6Qp%5z>!j;KM9#onKt$k-nH{gU9r(P~@o~l*CLwCQ%=e=?4+DaZ-Fel@*w@9_X)m{PN(W!E5kNp)48gN&mSVHP;Q1A zZkxt8#ozWD9#O6%=(8P*S+A|rvBWx=kLEDC`_TGgR^o_KBBUl7DKKZ__#)&Q)ZVWc z#>e+9!jvEC+{2c`=Mvq0PY8^XG4)BniA_YTBj7%@Y_1Lyzs9iLM7tS$*%#373pZQ> z;%!p}1Rcw*WQsVqd9L>Uj2~7b$(VS|Te-2qmBrLYHA7owyM!3h4$&<>czt^_iAdQF zUw~R11?7_L4oC?uejYN;436XS6(ig)4C!BKM&%m}LZ>Q_W^@#C_oNcNX~@1Ocas#$ zC2)!CMc#O?L?ySAsHBJkZ{j~QfV;&tN(Y>pIom69XD?>3DE4s0Zv+}keK=woQTh{_ zfR1}q6}bG5G3?WM2o_i3u8AXXB0A!3^*wH;>#nQIO*cb9S;+z2#;8;B^z+GTWqkQ3 zZwHph_IMAb(jJsZrdK)c8BxEJ`mP$~6M$f^C{arxtrg1wd!in!(<+6{#ak>E6+zY`!l{8&3DfE)ISDP|XB)#7+ zf2ELDf}P-XeUN!^{D$|P0@?`RK{U%8J`E_ z##|;{49byElN3IB2(Ry%!=HwHG=GKzW2yrnwo6x{A(5XcO{2MJD`q7MbGC)Fdjzcsii2a@7zE#-P$Zo9$Bt_}(>3N=3$6MZ zlOjD)mv6lhJeIxe@14WYyJ4}6*JO(A%1*RMthdlVOXVP>VH>~TO+df~>GZ;zLX$B| zDpJIh_;zcj@R@kx;$$)4+)#ME-oSU?c=*Yh%p&-+w#k->m???7SOjRi=lqRz`Ozol zM!7w7_VPn~;BFQBh36i&+N*7_54qxJ+mY*f?OS8(TVnT~uVn4%xoenR3Q1AS4ruW* z4!Ppdbi#Q6fsYaLaZWu3ygX-g2_b7jCdwDFDbIPDT@rtZ%>Xgif1n)!o32PtJ>xW z8GEo92*yX^=o`P)vi(@{U?!#W9J7GCub_|yOM>i4I*Zf6LISvgNn(YNCpu0RS9hO) zMZ^txjg=b#lnkp3=!}F(H&|SjV9CCUw>{#t#nFIul@^Zpxs_GlY(>^Jl@8A3--H~O z=mk+t$hT#O(Q)3I+;qo4#wSBq+BAW8~O zl*m7Pg9cr?P<|%Jz^Ky@fKwK*;nQ@nb}`(tV7xcl$FZiCDOaP;*sTO*zZKPujkq|P z;!lzrCJvgNW)s|0d1EqI6;0m$zVL!sLOi0NUaNja7etInfW;T?}Q!@x*@=hP@c_d;*81);A+EIM}7T}JP1SvirsLU;IT2KMlr=S=$I4Qch8E(qR zG>daHxB@m(UMkGXY~r(ULGNid7ms*9r}Ml{P_zrHjk#y zTeksTn&Rme-S_)g%@?#2_B)QLtY_O%W?#|qns4sDIVl`biJx>Z$LwXJd8}@}>x=13 zQ}L|~7*$`3l>Wkq?@hLxjE=d)Yzr%ngjs9liO<-VXCv=la;9|F_)Svl=V}9jwrYbZ zw^pWx38OoML!Pu=>7PZ&HkB}DTk-kEWK9!Q*~n|77JbgogtTViEg*Q9(%l>t{uq^x z@5>fEZhMwqwwclhIl$H1Y;7iK%sIt`$61ORk};=mG!s^xy-mQenNqdvmr+5{6ct$~ z!Jl6fHS8kaL}*ivgsqia%a2o(Y5@Tf{sJ=rbXHFJEz1n_h#e|WX8;;cvU^rg>*e$+(^V*ySqWH;)g7ZZbF~pacMLDCTQlZ!+x5nx zAm`aVb13-ZZ@pE%^~rc^X<89)Iyo&ZG@6sUwumZ^VO zjU!PW2{>ffrm6SK!h$4g>7*C2K3Jj$RrYwDtLi;VAJV4v8Fu!4OKsz&lC|`L!Fg|v zG|Ey9)k1LNr+PJQ=t;bo9kcTZr)ZzJvlrU}5)#5<_uLbSr^BwxH_bAp3UkG&865zI zh?3qGe5d#pyvdESPi|5x({k63bkbXXQ^NF7JmrL(BOLlk!mbe^IR_^czBh0V6v%Vz zE`(&s6pc!5ZIrVjL2!?aph_^noXu>YVvAbeN5Ozmk-AsCFI&HdgiXhQw3s7SnPi4E zWFH@fBHxNMg?Sw~&NtN3C`vyr%wggXFr*#*5fbsVQNWq}in@gIS^V>x(7})gQ%ny< z4l~%4qaRJ?Qw|@1Ao8b>DQ)T;Z3>Xc#5XzYf+&Z6bU%RcFPG_?7 zscfTRAigsV_3 zw>@GA3p)U8Q0~*-Fb2iYG?vrAnL=jkXUe5B837c^O+>Bl;LhC5z0bm#ygF}@MvB#I zAJ4psg0UX$DsM0CH}CfLAjf2qWD#yKKASqSCVthk{Msi5-!VNRdMbo_fG>g9 zyuyB2Fe)%}Q?ZsbdWWpgiF#a}^sCV)A0y!lQ;!$*Z&}Sd8{&olNcPdW+81*VUqA0w zvCHYdq%Gv=`u41)XOzlDz+!&O#jMq4YVW*pjM27j*Fg4OKWGUZQz&KhBTDOlSdP~bIEE+=#Y&H6%P02{so@$tIXFg8$6-OHkAIMrNVQGR(X`K50m?B$5 zn94E(J+fEYXHP>}j(d9A#W&aZY=^cg+S^^$)1Jg)TvS3D#Y&;xhM7Z&VpXbS5Z0Yo z=Z*1Ky2tfF153gCM6XXE>87qz0_9Vg-frV{Uvnxc9Xo`4v{N*>Sovw_cxehry;~1t z^Z0sM7}i}v9BP~TjN5av5XlU>o?|0&V1@|S#I3J|+GdKP_3OBvlU>^AK3%8+bm<)g z+r7_h5jhixzZX4^Azgxn6-)oGZBFDh*$qIc+46P05~#;G%?kg^k+-0=Kkj)JVdbX<+O z)#b`pz6T|BS;J};=m>ujGF9^HP=_C5K12gldDgYQC=kI^Zbdtl22Va}G_4G27zm-mv zO42jlPhSOCiPWS?NoxFYC>cw@vRJNq`zd}Rj2y&Q!v^|Sn=BwXNl*Q z<}|Jj55S&KLksXSLlU8}xK;Fe;3fLuyn(jm|mSYaS5<&wwaA7}B-C!*PB zvQkRbFj(&Bs*~*PZA)J84qM1h(`Q|<#i8ZGd3y|cd;ZI&2S!#mupalR$cBWgb>|g7 zE@vxwl;}ZF^y8(Sxv`DQq;Iqo^I-7W_sQFdO1C4E{S+;3j)eii`7W+3?43G?Tf+KH zSR_hf*V8XLagSq2+Hm&yZ_$;%U#=@hV?8u5_i?N92C2C27oSWx%0ettSLrZo_S8^) zBA!d9YMV+Kr|e7V)zEr>aQ#wP9uyE>>07>iYs#^rTZ;Ss=4+)REOcW;qD#^Sos0Vk zS8@m&O=C`K^hhRkUs(4N#m=MDT$oBo0r`aqlsxw4kP*8eQT`1^i<<6%Anmcqw%)zV z4viX@khV+ z=ieJKf%DYToY>+NUGu|o^mfAOie5s7rppAhWICREHt4URr8O``=#fFFe~fwd_Xnay zJQov^dKfnS$L?aP#-0~Lw2{;8Fa1ii3$VyoQe32OSaaA3{JFObqGuM>G)Q)~qghxO zuOr93e@GHn9AY3ZJe{aeDVx7Hoh@>oCZe+R@f_%R`ax$Zsf{daLrd?6OUh@EdAF6o zZpS@QxMtgzI85oH=h=-NyJAT)%rj z_^L9a4{f98Y?$!|1L;21g(;qZSqtZg*>u`|Mk$VTMnm9(_WO$wRj1AZl!$ex++#=P zp%T0C_d~tF^J$64#WN1sVqF-$PW3U>A5R|-($ONn!$>_rc>%~ZCMxVIwyUOZ3))?WELueG*(tkfc%LN zRt0lv%7lbYnV*wE+Hxl1+(RQC$Bdx+vbFhlZpaf z<8!UhaC$<;(bRXg{G$mZl6=gzv%S2cWhfbQ@=yzc(Yr}vl_P(AY>_){uHs8D5;|9( z{C*lsq^NT*-}Cgx;LZV&0|mkyU1y6zzkLvwLJ(1jqnU>w#rH`#bycS(m>i}+jzvRt z*z90olVW3tCF1i(MTVSc7`>|uLV4>=yNrL2yy^Rc2VBDJ3mp?f+aXM`bc^q8akkAv z(P{zDQYHGpK7*&_Rr0=hvG{(wW+hKJMMhebY`|DY}*(^m6 zKlqSv2|RxI3Ync(XkTUkO@{Jn7X*tB@B*!zHYlaw;3o4Gxs239^Uii?wmLBt>7_ET zhqD`z%$0hxdbyS>3M43P?-wsk@CcQL#)yS|c0!WHO#(&WFOpw<)#!^{!aAs@;`48% z7fa0-a+bI@uq=Xn2K`^;y>(brTl+UYfP^3=0@5fFN=Y{eCK|+j$>y_%KYdg3 z7AZ)1h~t+G z*wf3{r^Ds;I!74w<2&gK<}O`g6G2JUl|&b895=4Mxnag62%dI-*0yC=lcirz(cRrE zH9JR%u_wMOE`K_g#g3eTu8$H-+;zN*V{}L{&wuW4v8nydGt`rvbxcHqct)mLr-fA! zR{yl0P*#+n=d#Q zY$0x^8tf*WjEAqo{5-nklNbQ*(8pHR$;ep94r3{eSdIKUzYv3&IZoK=S*Rt(9%F;- znK=M9?^HM~9}mv8ZBU>LW+jYJg?j)i1SX|b1)ug;nzBTL`}AZO{4YASMb|=oc;dP~ z2Cy9(e8ddJ&Y}}rXWvo=KJxP6?5=CNPF`jM!APCX(Cu*H={!FsOxoG3XwewQPD2%c z1wE8~a*Gh$D_@1yv=A~PVpqP0Lc`x1G&%O)@o9uy-gjcdGqi9GE9g%nhuZ|TaB}yY zwv@TTI|91VF46-h0R6D7x9fJ_^1DqOiJfBM#O$l!bi?h2>arNV=P6u57kS%%I-gM@Poh=La%?6#P3py~bS9BiSubYy)L zX``D$y3Ay^a)`R#Eta!7L;cxTUsKfZYm=prr=%z>gh`Vqt2=9{s$ir~T42-&gujcc zUXRTo^-J7-h_gF#NS!EJNp~W+++^yZU1s>J5im2PvhyV{@OVgj_m9Dq|Mm-;5vD zy7e@?QyAG_6FzAdL?PGuh#!JOKuhX5S=rq*)Sb06rcB(f%YIurG$r%gx{L$4W88Zm zTRT(d$=cIIHREO3FtLXb;;hl0_bbmsbgb{*YaYdl&FWA(5P%o4e#-`?+d}`vTF1m= zyFK*_yb;v^9xiV5h_L=aU#{?#M-k74Q}Y&71toCDR)HBYu)9sq)sm)dP^z^>)xop5 zznWK>E5Mn_MvO4^MR}+>=#zPz`V%f@%z5&PijW;H!Fro?c<&CU|I2&*-|Srp#mZyB zkfcu#qG*1v=@Kft3rj*BToNed#nHH9>}>Mn;&7{*nG0{OUpcG7c1(Hp!!(II(Klq? zee8M8U>lHlXOd;5dEDEH9lI}9$F)B^ddcWS(m3MuG_FG|NGI`?03H2VZn4aDG{ z7pOSIi=#W!lvvjy+tNgXm6$N(2?C;8w#S^0^iYNSJhBoVp&A9MaT|T1N73*MCG; zz(sPScL*B@#E*KK(NW60S3gz%fC8JM&x6s@X{zZl7Q`PZ+4A0;sQKlt>f6|=m^X;Y zTIIljGXgePuZ{(0nGDOU?vb=x2alA3%KV#?xlTGs0S9Z~Q!sS24om?Dmyf>P5JR8ZXBg|iK`1xt_x5^J|C>l4~ znS>nH*-R~!FMf;%=n0sI=^hPnA5djdu&2gQkq>JzjFg8(sI+inV1VGL6QcEnkS&SN zv$s#sy#^;Y+ee-D62Qm(>NdIXaUNk=s$KS*aJUDBn+_kXv$Db9$6Uv?!Ikg*oNz@X z*(N0Jiej^LBE!5ZFj!cJ9Jg_h5UUzSbKW1HOhytk6Ujf%3yg?YMt_#z_z5n<-py) zpgJ(eIRh{0=vu}{fQ;usZ`71rU0n)Zc5V!YxvJ9T-^}tZwjSNZNFbY&ZmY}?8j9>F=ysQ8Am zMO?IpA14QeP+Rsc<8n#Tn{M^Ad{&U=niR6wBsko70*T66O-5baoTW;+>fQbI^c ztl-g+K~RrbTID3(C$s8Nw+;&Xc1#J zu-QrwwycENlNY?+8~sqZPrPLN4?2?*u_@v=2yZ{Uhc6(YK=n@5IOBzH`#WnY6{;RP zdS6}_D-Tuof_LYUC0gc8s55O|g61hHX+~mFWJN(01k(AKb1Y(}OACBgijLYUu08@` zcqYU}xeQG#g03gusrnlw`;Uq5n*WG#%;$)(47?+RLZnSgR1cB0xB9GQXn>GghC()} zMeSX%L&^=~H=x(JTuOy^C2>a%F*F<{vw5-wNc8C~AZ{1Zlqv4s5=w=KNb#2e`PpM_ z_BqC_ZXAq)EXip8#&`oJ$ydQ-RKn+cJZ{NuG2g5Flu_1V?KeTi!@ zX6m>^Y~bYx3DbV5-Jr`!QDN(N5$7|j{^=R9zQszj`dv^JYPXmv4a7Nf$LX1ZcOC~m zc7kKZ&Wyb5<{KTWcTxF)#h<;jqmCYb@(u%cge%|_;Xkh(SlMLUNp-GOiX)~ZQ1FD7 z<1lbjfcGsuN6yz)GG6Ah+#3O1#yXY|sSuj2eeTRqz!VO8WuFG^?bk;a=sitqfVxRV z*5}aWWUH{%$FciM$7_#jhuX%iek^?q0x`!fqx)%2Oprb%kY4m=8zW7$P;_Bz=KQio zYWMG)BjgERcf4$Z9WjV8f1$^FTx z%b8TSCCaf`3%0cHtPPa4s7|%yVr3Ql&_LT-sXeLLkMn3S%R)KuPJEUtH{&H;)5~2~ zqgHKL5s_itR=VGeR6?=;fHIdVwNn#ixu8}Ex#5CfBeU^=RxoK zx`}U|B)!jA$3$@N^SS4H#H@f>g%rx~IfR9<@XxEq;RSv0+sBR#qZC*m5Jg~dTnWw% z0~H27W!4>ZgJ{#L|DrB>Frs&9U!O~@g{EDfTROrj`!sZ#yCyD*-ep9lo1!M5ixOu+b>s9=|?GmZO| z`oIA;{eTHG9W!?Ukw%xRSn%&OF?Z#W*PrIv$wueqqjlc)BI35cNbmd}=w+rW#LFpA zXX_EX7XiqL__b9=9vPB4fpqvt$Qe?3zhFmVEkUkWc1zB9cV=ZzyZAsSJtIHggph-u z{EE+v{L9vMqYwG;SE_sFi79H5-D#3fYyIeN^|45W*`!Id@m`q#9HDd=b@AVh42QF3|B@b?JL6+oDM#_Bc-6bci*R>*%sFeWNyrT zuWl4Wy`XNx^}!mt|Bp~MoFOWTNA_<@5j!l!d|O5GNHUtTn|vu zFBuXPPn%9XkdaqOTb-)=4oApO;PF1q=zH%xFB@<1qXn-gmh6S2yYX!u9z7Kytk3nA z8YARQR+vI3o}%}1NGB#Z!j93c>a%E#!6M2cwT?z%frM0GCh@?Yi9jpi?6i!~++FQ) zA*(F&MWyGVGaMk$lQWd0BHtbqz*X)h*9QFc;%~n6L=#!Q%R%_y_4F;EUTe)1>+b-t z7n#-8{UcszyyK(mUqQrgX11NZWgCB_qIM=m9?w?3!jpb%HQMftsO#O(R5N8wAM(bK zhbn1?pI&b(Wy}JD_Abw+!IQ^UAFWXrjX(poubj_lff$0o0TDyoi+(+w7mw6j6~|9> zmj}hW59r;RfaxZpk`Yje4uq(K0@SheF-*C!Xf%U~(b2o~vZ;y$(&?&y6zJ&lau=m{C`3M?dD+yBE^(88;K z2@3JlpFr%}%u`#0M4}{-5c2c9evGA5NG^A$6WPa>10m{$Cgw_ArtL@3{E-Y+sDDUG z$*M8O)SrM8AO%iDfTaw`@$~B9*Xb6=J;Dw(ul~Mv!H&>~1U7Kl!O}BB} z5yE6UdUFs00&xZ?{iNt$nm{H0MN*|2!gzO)5ro>1p7>E1Py);~fo8b=dhs`oL6f&# z6w%_wkZp|7K;D$9o*x}&gsW)iaxOSn*S6IZyv{E*7ZQ4niJf9kCqgvTZfQN?Z%P29 ztx~jIns)$ereJ>wd?sEzu&}aG%(_2ME;#7m8^hEkuGm;C?<;mzG?wJ+^4>2EWDnJx9ynyaFdygGHZ?bB1I{BWA7=t)BpI zX(tsL*&GtwC{qYrb>>l!K@>;`l4pgheCcvLIjoo7+YqIM_+NxAH5QW>Z?Udhl7^1mx!BBLUW$5$y^SEO*O=Q+bl%a~CKXQ-``YxEwd4)XdUlQA!nEjd>T?*)TE zRk$)2jRjNa&flMwTd&B9)62A5%S#W_4}_sKGz5@_uX=Ul-L3MD#u?oTPMeO}hJ4ur z<_VQfl5;n*)uO!X%(E_gp6<)!<4}?%rJI(^^-DzwK+$&`f+nLK%rDl#&;aQ3s)`v;?hO#=!K~@ z@cIr_nW&4kQ)g%(VPXK-`4XYOYAePs?8QA0ognZRzC8#d*C9bSOchl5I zfPvHV)v@}h%Go?lLKdvQ?sxEZ+3_oQqc+oKGeOBAmJTH-E0!PH)9|D0!5(U(Bn8mx zU~MVz`yq0DZ=LUESKuyde;KFg@dtpXqPMe7V-U$XcGmMYbHB~`Pes{hJK&RALB$pa zW?-TL)HzVOqv1SI*(2it%$woCf9$3kR=*XRY(${q-~Xu%R?-{R_{tekB3v;!P(?&< z6veKsD$Y^U0EZTEg~C2#_06Ym5olu3TtUT_c`ID~j`XPId%l<~SfAjiahz1@H3p5o zo{IRSiPpJwlXkP>>$J48fHCE2X%E9oghzwoi#8+yAPB$9Q)(5`Q;aZyV&U!=w^0#J z>v7}N9ck6y-G?|$7g8Mv$1)PY3jcHBZO`twj60cdE9EQ zp<;^#c)XYgO;vo=l2$%5rqrMAVfx8NG7|skJ+AsE);~v$HDb3ZZF@@3ZozAUGS@Vn z^99y4uR-+hk_HXj@^n|s5Lh$#`LkPrBxeb5}Dzr;Qwj7nW+ud%eb6QbSZ<#$3Vd&@DcqLTB)-Tr5{B5ON($z|?r(w<{@MJKr2UaeM} z9n&IT&EFBoMYPr=>75H}&S$*m92U>_kzrKkYmEYFwM$>4vbLH^;=I*(&2=OK;;1sC zny$HRH&zv=AEa0Bw?)cBhJC1OyVx2ivMPbAT=-!FF%E)2J@a{mJk1sWk?}SI=EjA+wnDGC<3w>(!p;)-h=8E?|MC+Hx5kgd_MTC zT%l)kHRdy-bL`jA!{2r7ZL~HO#XciS|IcFHR_@J3>Z`bK^dimAZ1MTM7Ulc0NY^Rn<}7lKr^iGwy`q1a(V45;)-z zJAM>!WFh;+@tX18*HhcEnn(g406jyJCpfKCo5p!Io&Ea4b~9JdK-VTupgQBlM^uDD z!eifa6Rv-kC%y_}XjJP`!QMgf^_c1nAhZC1J~gKn*fP9EXSZ7UM8MhY6@5L&5@M0K zon&A*n)6F*yeZ~+=vz+!8?g5=nyRY)`@9Dk2V(pO;tgMdnhv)n9E0f|HhL4Mu=sd9 zSU2Ah(hT`-5c4gBxBS4L_bVu-ETRFZ>c06|cG2jW-&HridPojtz2#jX_5f%q^ZUCr zLLTJW%pQ&}aW<}n; zQwrOY0JY;sl|8V*`C+8%qRIIGV^8L1y22ftUGw~h-F1j9Z`Zr)IQaP3{@7i|^}pC% z$IJJx-F2MY|HbY)w#WY`+v{Al?i|l_qQ4hs*%S8NdopYyo0>sKSHU+~RxTSa7-ky2 zVmORcN7#-P7ECHDsP{OigU01S2Mrw>Zs=XEH1e*LcSZNVGLIp|w`QN`S(?T;#;#%ZKMi1=b%13Q>|m;7C%sq|Pb*NJ9a%(hM~$)Y7-;Pl$&~T_ zuy8}3IhJDXmR>DQSZb*G&SvGWRwNfN8Cln}+$Q9#4s1Q0Kkkd&Xaf_sdA$P9t>#VJKFXA?D-x87 zKI5xp&)u{tVt;7&sB!+aQ~8mMrR{#oS7)PFm7gk1E*jdz5?p}uY%Z262vaJ&R_ffT zm0H~h?_FyR4`8vUonH0Fd0vFv>N*q5UkSWu{<7StV8)q$-EK0y%9qji^hfLI3OpCJ zQ1DKY+o|2qMB!*qOWFCWlx&y;Q%zmSo|I`^+`1IKa6zoXjXxABGGJ(o!y@s${WJL`@J#;25Py%a zLs`2lT2eB+aNE07WrFYkiTI0=`@#C@CLHh8bPG+KjzWA zHG9$XgDM+Pv)|f~vOD!G7+W_gdxrQM&z9Seb`kKrC=hs>jW~0M?>xTeu)kGIwe8OO zQwmvPM+aCqbfPW~AH%{^ zuLOBjt#^p`3>=MDNuNqXH7k_-fdwG*<(p~~wZLl95@B#6Lhou#nDr&kS6{^#2@F7`ueO5w~ z!%AgHc9!I=BE+-4fhYlnanpW4tH!3B@a=g#p4$;#c*=*+Kt zl2Ol;pNZt%#L;lgfdQY-h27h0U_Ch;_jed^R2drU-tZqzW{jBQ9dV;HX)kAOIXTre zGCeGBsWxX3akaFNkduRLFU2UU&!`mk$p=l8dL@qc*X7&N^4I5GT*n^M9F_h`+B&LQ zpIA4B8?TNE!IvlcQN*#}-5zpc-#CkdpYq@t% ze#aFf#azwXCJCEMw_@NeX@jST8yZ#XJ|W(9lzRC_CB5bul!>ugJv!-_wKxlWIc&kM z!J5~Hx9q+FM~PnrEZK25`P-S3l)_pk`k4G8F*x2>=&h12ek0vG@T$w(?cS$^QeelVH#8IMUD*01+lWl#s2*MW;EiJ9 zc&+7SEgq6vsn(;_s&a=b%T#R=;6VOvq87nQ+<*P`&#K^3E!0ofTx*OV^&HhpAgoPU#{Akln z!mdZM^zCL+_W#lECy*zp*_9T1!J2}rz~vV7)mIcY`b^xw8e~iQfD^& zSjw3^1_qaCOM>T?qYbDFu~YI7(v7p&mC|TV1X@h9`rvk6+qGk3-y7?-t-q^jO)%^5 z>h~sos`?Tg-B!xh*+*=0RO3^%IF}$J+yAxhgAJ!0k%+6fSlo;}(zEL!@eL)wzCpGZ zd_5DcA5Wy+ckC|wiimr}X>vZgx|@gM1yf0)%*6Nu>-7Wh_{D5$T&fysAWImYzrC#k z5;LSCLQsQ?zRhX)9>)qxg!IU({vl4a^le=ZdV`LP@4@EiV}7#P7hVHB?NsD1SU9?^ zr8PkTsc!S%)VpgOV^Yn%@OVDn0y80-3rg9_bEGzp{lbDyThwY zQ?|vamvFexVyb8V$xP$B`4qamZ2a*ol1fa&#zw=4J^k1bL4y}q?|t~u zBe84t?hPsMre{s&cb$|s15k%qVa;rf0?pfP*3qxnd<>qyE+o1W`y5YB-&U(9yDIvy z$2>=7wc+wLw}{~kZhA?Q#qYSFx)FHaZ<;N4<}o5THP;KxWOi{r3krQimo$L$D|C2i zx1-HV!G*tW!4Qac zftO5<9JGwr61Tx=Gvud~&u!o-;2d2j6EB#2d{ZZ)Ft|SBGCM<}ep`xl!A-8}payo* z`#DNICPTB*O2wG`6W3(_PK!;89q-&8Rr<&EUZRj^QG^ti4r4fNjtRw7_{3MnBFx#@ zQZ8xeN6`xo%PwJ41qG)O?I!6P3ky(6pilvMxINVm>7{DDw04V8Lo2VY_=Xatqs5~o z$w&Ev0m~v>T-i$KRf=QIId;6nh0v(uj2R|o78XX?Ii91{*|N?jyy>k{1bw2uv5ij3 zo|f$x4{_Tr0yG;l!9q@LSelr@_aL%1+rm5LA|j)$Gwto}Z4j&svRta-FdBHUUvjUHu8wq2kaip)ou;)Oh@o|DrlJLIdU2Zm!|nY!z8 zr1XAD{18qkIk!W>6_q~c`Wr>ccV{2u^zR>N-tL1Yd1+D_pN&i`vx+ST-Sip_5rAEF zuV9_}S5NTR6;j|{kT@1Ccu6p271_;|3OhAy_bgYr;CP++Wjx;BlYTKLp}znaYP#bhC&+L5QIM_-(f8O|!_@^nY})s)!j zu{EsXDku0LW;kWl-1u+7<|RCaaw9Xz3>sV$cM4i&4|avI^QG~El42zn7RM`Mz@+SH1-Fjr^9lM|mu;c8ueZ;ng`E8>@DXJm9lgO~CzopzFjV}|i!b-*&5+7N@| z^p~rQlF`|~uJ);Ffy9XX`j=&vka}aoIpVfe$iSdIB$J#BI5&X#(fQKNjo28Dk2IHR z=?m~K{PIG-kj8#2>9oX(H(#%E8oQ)E{9Y1nf2~2Cpz*upWVGQ+ zqKDnY51dPIcyO)#%dU0o{^QM!-R%}vE*B~7cOE5CbMM|?2x->}?XBdd0bc}Nhj*t- zn&W!YCCvy~xAdEVCtOg^&EVl2-?kq_GdD{S$c1%8Q&4bERtpnl4`&?@ab{4NKfN@~ zX{dv<2^3ljoxC28jBMreH#Lp`6PB~88EdBR`1;}+5)l#;%`)|hR6=p*cjhT$w>hvc@j7q=lr`_8j4Fz8P37)VOh07rZ~TUy_TS5%EBHxZTz!N(u% zWcBq)@E_=LDkY9Kcybee8bzbUeZ7F8^)0ghu=BW&zSL@E!+_;Lv^eo?VQ{_moZ9h& z2V1V?=$)>P+b<>6L~t8*^MX~{?#aoO*xbL)M0uTdu%Iva*`42=6+P=DeDg^*k_7_W zNT;H(c!yn_lIq34-4=k;crS`77@NYYb#%b0CGNAsRzjy|jS%tW=`pdAA`2vJwqa#P z8`E_P4;|VTFs|^1jQuoa9FL6uJm3Y;fWry4W@|l`@j~o*tMhUreE>r zsUbk?k1WLLMDCF3i3iR_e#31CsC;AYZIdC!3sg~_z3%xhq=TR@VwfPu=W7gR<*&sp zva+sQeFw00-3~x({#(30(#*F}bPz6ld__v+PKgD$gT>z?ms7vt1x|z>n)+nC?Mw!6 zk-C7uhI1_tw2X%c3q*MhQ3P0#x>7_%fM^G_JdjL<94!ulM&qDEqp`Q@?r>q;zt@E0 zGHHsmB12para{8UL~VIU@3NAK_X_ype~}BrjZxs_hCB!n;{J7!3x*9af|L6xaTgsZ zV&nne?|&tD2YD0te!r?57UKT#E5RFha_hfnx>p38MDTh~;pZ=W%+$br^XokXZF&WO z=t|xu#EQKZ@-As!Ncq`;oyHU3-luutp0~|tnw0j{#wU=jnyo{GPTK6d7s~OPVOY<|65<_+AV0{0-O1&-x@s%WD84oi)F=x}l{`ReNE-F|PW z%twydA$%B_7Oz*+|C4N}AxCQ^jW}S_L+_nSRSzvbtDXwM;RI{45mdej*{{rlpKbk= zRR2rKk!95Ncep!(X&=d2#=Ni`I)A&PU%`zh=WDk3YM0KrLBFw5kI@z4lN(D#j85`Ug}OjZK7$5C^Jn%rNq` zDv5BB{7P|@CMIGCklkU}FKpCY8h?_`UwH!D?+u~c82T!`sd-}#FHFR1 zwoydO>ud^5Wr-? z2_wABm)en0Gk(*z^GPIm4N-sL)4$cdJj2NBr)sct@w5Lo)BkB6e`iy2h7SUsNh?Wg zt+uL@UlpNXFtfqQOu2uoVWh7-7Q$szC!-B`-|cIg`sMk*5iu_froHjjTHb3G&f*wx z+0P=VV`8zK@e}F(vBqyZ|3@_e85waO297A+7j2X+ka6-){6$zJ!16ypGIf&8C@(g| z0D6ZC_2)!3diaZ$Z}2MpvwPaS>4G=H!`hD4$A5dnZ)5%!(*Cc}KZ4Zn7WM1PH3q>Z z${s4xW%P01Q}MJP&p|DwZ*97Q0YIRo0l*Q8fNpk#D~AG){1K17U88xQn_uZhV6yNf zD}`ERyQM9j+$jPU4G`l6Ie^5{5&$fJzy}`LARdt*z_~-+e>f6sx4E)r;i)-I1eiJQ z?~*NulGl~5OG^H({2HRdJB4%Y690YKSD2aA{GP`>t7vCV^Ht$qzFwtEFRIn+l%r}c zN7#@W3KnEt4oQzB3{oexz!xnE`a*=XprHDKaR-+AF5jJ+F9u`*7 zk7PFTv@E6&n)2^WwxjD%j;F;JVyzDUpmh{qnS@e`U$U7mDA5ES9Wb zy!AigYW!wqHItE|b!F3hz1nxW(gxl)*59kyqg-77Y2*@US#NQqtmUnEb@*KAbR=4= zex^G=c>VN8t(sY3N^Kcu(J-(Nk<%V06L9pMlxM5Y8b`Ee9@h*v6@^Tyo@7)}{11sT zUQd~GJFO?zme7`ruQQ2Ujj9dlsq`=PooibplpQIb=nk7m5`=O+5PVi9vE5$uR(R2Q ziJRA8BG0AhRA`JF!}CM>-(X@{{I^p7u!w6T`IqYN8nu}Az<=OZiiRj& zZZf2@oNfMymB3xwv2O2-S?sXr=9nRp>AxqV=-7*4%n=)p2@~8Ud(7BS1D`ItOaNCF zj!)0W_hM$Qrp1?-rz_jDS$MCWTwoiHXR&ZPo`R2@0pYztSss(KyG@AIoweY)%t?F)D`8rl_@9AcQSeY`&@)vD-#GT-d zz~57f$7X8adXR>M-#A{a0tSbWXA}b2mB-#lv!1iUBTEE4yKs!ZL7dJX{`Q9#{naad z-T+~4PFccmBFWlfi!FsGx^QC^0Dl=JrHu49U|VFocHckk752h6Q7CWw4J%TJ$S99` zaV+F)vJO6AAs-o@YqZ~ncF84NT+|BPv8yRDggyX*xqSqrUW3Db5^Sh17$=j>eAuMF z87oTj!0W&r5y}Prw^)P249O@NUq=z7(u^IX11o%G`58deBEjB0DRS9~!MMS=suP5` zL=7aAv+Nqew}MQeH0s7Hn_8W2D9!^xEBAu}`A)Q75%6!cjFjg!hoAu&NN@!5^(()3 zD3Wy0=;N%^4?ynmAH(kdaqIvD+rPW=wvi5uVuEmyk9G0>j7NI44~OAG1AL1HWkq(M zM9495YsB6m@YfUz>JhN0L0}p&;&~Gi3`8N*&qRDYfHM}N#RtUr#Lix%Xk~fb*q5OP z$#>u2{Y#94C=SF?zzet=E*f;+Hr!7=7h+iIXN8p;9Kyg(Md7 z8^d#C(7l0R&V&95^_6SJ3C3m`m8#hk$rgMU*VG6Gayu?hH&D^Aqz4Sd}W2Klzft^ zpF#FL)_ED<17Gl~f4SQAVqtki>OG@~K-#0>c!%o2VYF&AKRUA=j7+RC*R6$dy+In; zWi=(tBPZ6%M;WWFyE@tR0XsuOO>*29oCaY2+3sC`*@tFnEXQ9%?^)r#AbzxsMIUbS zh+wM@5exhq4e5bio6E;`4kYOolS|Kh1(T+=4H}ps6z6o5g(i0SE37<5;C;Wb$RBs| zT{wta(cqzT@6F3}oX3=QgicGjojGU5**o&*dQLU~|Mz`l2T9V@7et{;$nmr3!gSIB zYz!syK9Gpx$&q5vBPD*kX+SKeKN=WOg{@(5)ZFn88Hr`_A$iFIm9bD8Zu{!&*Zl_# zNTmz8x&5-6bEnQ{!TFOpjWsLAR;{WNI35~Igo6Zio>%k|p1YE~VH-Y>ExM(TCcSua z>OX_nznYS0Ed`XxS}({oDeQRTQd;@*X_)*ZK4ka$sNjbEtgWYItt<1!=p>^3QDH z_l4=#$4{SzU(5!#uk^w8Gexg$S<%~B7hNnV#@fiDC)^q@Ycrcwm6EQ1UPbUPNexy$(2c^+rPr< zzdEEztaCQ-o(2Nzc|t`TzPTpwNe7Uawc9so6lW( znYceZEVKg}D8OqJyx&?}iNVLh$f9^83kK8e9N-%ZTe;~szb^#YzzP5WI$FJ34b*v~ZfpQ@3+;>A9l3lKU^mPhYvFIS3qZ~fG8KKq!DF*TVn^`oKmDns-|a?m$|5sTeb%r)+#- zBiK+i%C?PVoVf!IdDwS8kKcEOdxmA_EVOv_6)h%(OC$&}O>@m7Fv@r%ctng!K)aoU zeH#bE@EV-NI(oLsuJ7}DXD#bIdX^cYp6BUSZ$lKzM#P>sHO1|Ho{G=D-+5Zi)xq(4 zC|erKPf6~&1q9ChsbSs%8;uv~tK9x|Kb|+rL1b0~b+l}AzKjY0)U8T#8vV{Ay3$vWM5st+j6>Ip-D*b@04wAWxDVhnrL3?1l{U*4vrs zb}21Ew=nor=eHQ6DV{R5$``i|4{+}auT1b5H+;{HVa=Xu){6UaJ>T~yeg0u$;f#=N zbcE0U(~pSs@`Hcr5%{=4stV(aC?vFY%9RFEz^D#+glv00Q`D;}cVlQ{q{ZU{tg*Wf z9r1F{0BR8;ImGsUlQ;B_*ys0T&R2Q}mmCVcI&Zrq()B8qwzo7>?4h-@C7xG_V_Vb@m;s2SAZg-!8?^Cl>{KMME>jjC|iyt3zJm&pl z@gwK|V(}vvALk!O3-GZ0SBoFn{!bP^0%r@Tjr}}ZK>h>0tHZjXvGclY_I;HbsG^^f zy_3Bq?z~jMM|BTs%X+1!XHon#jSV(CX!1f&FX&sY6sJU5@q>lB;ffLtP4fA^9_Yb^ zIEm59wEarr+_Zr6ip6x*%h>`R#5$bkZ^M$^fd9P>KqCZ#-0Zr#oo`Y5P#}hu*1+o2 z-eTJWB?F0eBA91y!*-bHt#&Y4uU1jb=x}?gY$0+MW&ijgEPCR&JnBtAW2}F)K38S* zB0ay$_^0|U_<%lNcsvj=vT^;X9F75zLQ;qYw)e&x8eGK6m3wUW= zc8npHMJ0UoVDD^*uv1c%HEetPtJjSTh@}B65em(quSyfIG*S5v$kHefP_U zuOnQU#Vva1tW&C$Z+*jI*@1b(`08sGB`;N!wqgQgD`aLRDNC&y?Vq5R9O?y zH}gch@+*f{q}3)44VOz>N8Rt7n%62x#@{E^8+P8gsNrZ_n#>LHJiq0-tg?SR;y$A! zp8vr;%|Z4>F8xqO$a7yW}$T|WE&>!n{^F{5@Dsf zm`gcUs%_L5E~~=5T0-)=xcJidahm~qBnmb5&C{CriW#BI7RNR^c4%X)e&E3NG-H;| zxR&b0{-JGGj=k)@X(F^5#*ST>qgF1i1AiFiE(f8o%*iR7auBOvd85B3Yd&+E;>hV( z)*(qkCO&+lcxOas6wEd;TSFEs)tLqC{ZyQB2}*de{8Hrei#sFAscT{N>X9_6wMWC^;1(#&76W*%GRWE9%%r*t~ckngkPY~a+~aI#S0sG3(6s<1!3)qbl( z`oXHUc+Hx1%@Wb9%Bsnh`!{#~@RU1miYQ!rCG*F~z^-s!;+^zK$7iC9;iHo*PPQ>S zgweshyuO5?ocavCS&8?>tqgLF^B^YHBbGa^?|#)3lS zaU>4OC|BK8Q3Te<4=715xfs#laI->eGGnTwo2D`DlEYH&>$6+m@7fg>JXBw@uIPvy zS|{Sa6fAap>H(4nO@a4x$H|5ra!x_IlG z?V3#eXTQ)o>0Dw-GVx1Agh=+nU2LA~ruWTvD~4M~XDDzFtM`6 zxmq+E-Ai)|Yp04-d%XvVKu3j>(WM&68mS3odLMeGGs>qkj^r3m89!lu^KJ66-O$h= zy^R*wIA_-(OkU>+{6Tl@?Qj-bb1P`Sv%#7A_xzq#){TS4A4$T812{b@;fu$*;OVE> zj8s=H7P4lM5_p6{`k7#dy;&^1?x?9)>x(oROY-<73eSU_hLatZ>g`k3Rqo|yr)G3` zvrz@Jelc8R7F znL_K36P6L0;*aDxV~AxQFA zlG;Bgg|p+fmxb=j2e4-JygE^LI-ShhFONG#ZJ>B}D?9YAjNuHefc+!k-h2VY5(85k zVk_$bbS)kqqAu6?H54O*Td7CS{d>t?Rx&&9*FfSV88{lG9R`dZcXzrKye(E*ylA}; z8!gSzac^AfI{3oa;(3cexm3Xp)t@UGBKp$ZOL^ua)Uh+G9w*a{@zW``sx>bhd(zTo zRUw)zf2l&jvtWqUeLs%DI>@v0ZSA+MoYnc*lV|H)1g4nPu_cdLSm=`_6{cT^O~jJu zplB~d5r5d0wsoYtn!0Dw;YxmWcKCq-tj}|=5cy-{9_#t!!qbFx**wX%wL@sDFF}CB z9hS*c^vnH)7xZRdO*b_w7TGTQV`TLuh|)vB;EN-=urgbVlI8%i?T-F@6Hq>- zO;+K~U8^7itI+y$Qm=Mh4^5GDCN>)S`}H=@-@vY3Wjfq4;w2O^n6;j2FQ6V?X?v-h z^Sw8ayW|In^2Y4;mbE!d$L}5a1N+?*CV{*JCXeEhof^T7MwmMNH(j|4%y{Y9(^^R< zYgvx(w#6eU^>78F9vf0V;+R*wE01EN$GVJiI?IV`H(Y5yv}V&qB(%m&f*U&L^{LY|HywCf*-}U+9 zx0Y+UIC0Q~6}6yqm>Y9$HV`Y> z@@;DFf?Dc_w|ll`hR#nNH!b4VIv*G-kfvQln6QgN*xnj5&5L(V<$4K!v|cn9Ps`X zZX|ysk$YXsSY51ZvsD1HB!&ziEDHi6hLOlGNC_U+p?AV0B_(!B`4G&vF(oZ`w%+6xtljE%e5qE-7Uyj`?3JVSL;Wfc+`%Cdctnx19! z$L7k8V(*L_#gnzxYaW!5MyqRbF=vI<6VZ&kH3{@ZV@}7{v22(8&N;$GoR%$+Bsk{6y|i@P11kb^Lh}43|Aa! z-aWja{9)(~U%Pb@Rku&J9fR$)aMTXzFw3LN>BgE!>M){@0jRMXHXXyX*xpkp(z>IM z3J`b*Om%n`Oai$$s{XdJ;-N!BkjW2vDY2Nf441Y2>Wq}6XknN-=ck$La_bKNqTujY zC5zf%Qy?KGhnakdIC46C|68ccV!M6Ue6t6+q{}KIKlTXPb|1+d`<^{k*=|X*(Y5s< z-@6D8amHL^NeY?L9*e4Ao{fCpk}66P5()z~Rg}pO#a0Z5R2TQF3OZ{SZlq28aBNwA z!=1dJP#OnbjGGzc=C&p?MtEwE;gGtQK9W#YvbX8L$6&8xVPrO4T3 zibCG9{nTZLc;!tz-;Rkl<#BQWvNxEB$Ey~ojmhSVPXw>&k7HgthA}ES$@Nzl*~>9P z8JpJ;XA&djUZ41P-E zDJNC{s}T{hO%5&2n=HsTD74y_zegW1{xnoOy?8Ix2u{ZN4w3XU&v|r0EIf4|58Upz zMZ=S{=IEDAtxI<_4S|~N-c4%;jDA}juz9~UiZUZWDVsVIBn?X_>}Y<8j(Atk;xb7w z92|VZd2_aJI`<+9D&B9y2FIKGqvu>!*tM3n4%-ZYee(-bPpb-~-;9;h2M9f1ZVe)8 zRC;ys!^JH8i^fH>`(AKkvAZQ$80F2 zo~Dc`9{QGtkB*JK9h7?c25t{29#w_lf9RI?doosan zZp9im=J3zzVwLhcg;RV=Bxc zk8a})nX>H;w%Qt=%u|ien7=L6xK%u@C}e<@`=R}osn&z3`El&kb?H2VBas9n@vab= zRF&e+0ky9FZaTdmoysM^@C?CDhxrc~y$xh{M&xC+09M6XN`HiC1i*8KR>vV94@REA2TPiC& zUX{jELIm&Lldq=itUcmVZjVJ72qg+5iQfTAgnQkf0YElGKAb1V!rSe41C39yuk?Mt{ zJ=Dbe0ErO;SS!)%WUD=j=kRE0HhNYJ8At()dPM)-{r2YA&SYoFNVU%mf!Z?uJ2#xG zxj7tu9`)gNGRz)|tmuT?lVRGQ(@q?FaF#88=)v74WMIcWtnE`1Qgy+8KJeYmS$0N; z!fglhyz!7=TxxWJo@5Y~X`6F;qs2YzZNm7fWNaH41WT>)k2dUjvq_cNZ#oDIdax79 zy)oCF6>|$KB!f6C@Tn_vCeA-TBZvpp$vF*1E(}ofFM`?yhNTKXsJJ zzRB0^4!_kn?~OJ)fD&DMBv_dqN;%T!bYKX z;cNhxSs)ZcvE(A#puF>ODR_2T@`XC=S`OS&SXF5#BzJb^-LM|Q3zd6cVw}I#x5i>i zhuytxtt7Pmh-XGB2g&5B;8YYgLkRGtihUC{zmy3(MZTl(LJ&#Ln=ZXn95#N@E^^FO zTwT&3ejQ$^njDMsPfwjCTX<*d+O49d!p>a8Y0oVc?JujbrC;f zsFo;M5(HVE7vD*pF%s!ooJ6vH%ZTsBk<*JqB)uhDtx1R%W2vCjs$zX7-B{q{$r-oP z&EDo0W#@BLj31PsKKrhtrmaudK;B>sY38hLG1k3SG(@Imtlg=|x%6Z0+WgyxY{Lbd zMdX0<49mp;wO2aLMo%{XYpQ59)y5TE`=PmlVE*z&ss8v6&0sAg$%Uu=>&vbc*(+L>cggVYG@gO4bFM^ERBxhihH)ip;%5)CJCWi0FbOL!UtT==9Cl)F9w-X7zb<(eqIaRbT zxfi_kZakLto#jC3-57w9g;0FqJbIa5)LcK&%??fd)V|*i1GDbEcg&8~4MeV3HPL4g zEmasj8~fSQoS~h$TW!6Q$yzx;m2ibM6`$F7of_040o7?KBH6EO3|=73b!ggTJ}5U7 zd-{M^jICuaV)Q%3V1{WstY+hzC}-TsQ4OSRn6l(jik9yR zC`u#nR<`CGJb<$6IZAqMs7okWMjLfaA0c$`U5>xzn*GhF@`Z!Qo?0Cui;Y<9H$6B= zD*DEQn>y}w&jK7=p!*_^LvBetiha?c?{28**%#DVOZ-FPS6hLP4>ubN)dKy zNgJh27u|KCom#z>4ga+3CHQ>R^~ug__pDz<=eAM87&oK2{SOaLu(Q4!FHyb1%Zg4< z6D+og5q_D0-_PPaoB`Poe3#%Oc#&=eHGc8@#31+SZH@fz@^?2~KIz2s|FCq^SX|IP z-9BH%KTp=7ceK7Z9^#bVq9k5@vQ5g8H9wXFZjj1^H{q^wRTl=&Ewo~@$F|}PK<5ZU7TZY zX*oNhvvO)Y$?GD})Vl$o17_X(CPl~o0&XEVP|k}jqSNN^n;qI5CW_m)sdXu^F`>>{;O>AAW!72XWG^jJ?zkg zxv3tXrK83<7VJSybpJZ-S{8ciBw8wM3Dh_qjY#5$s=I5vgmtEW4AE8cO_vX zk6pHvPmcm~z8-#Z$bL&AV6>XgMxDTPYX)*9RO=1mXU0ivu~W7>rjh&}P6t(7*xq=y zyQqZ6hdGol!YG-8RX~08HrDlr-rtpb=$t1(ynz?mi9e<+m4B!ayShAZ5NOV4v0bt{ z`k20L?VWwtH?8qugjxG2R>ph=cUKvIm0C^SeKxYrr?j@*U3clzYY3<5?lyJ)y>bkU zHahd6RhBa4rlVIo`!iN|a$CUg#`3{{s5 z-i!Hb7ObmM)>RI+|5EP4NU7>MNy+Y(;#OpZJ%80`XKEvtm$hwD3=yFemt&f%~ zc3s(%zSv_Dg06c&zNXe6Cv z(e3Iv4C@iUKK6!!4G;3Y9uwm=UI4OC1!Mk$N(~m{NFWP!1h4%{9+cP3wIaXGYYy*h zw-w?#HCB?t!(T_sW4Pum@lG>dI;LJ86l|yHl@v{4d3rkk`nXu^qK*GpT99T)q)!LI zR?qAJm+evtvKU#=CnDky&rmTxJ;pp=?wBp>bP0cJe9x{!+lJ<1)yVa@ckf4JU85KT|tz&y)8f*Ad|7;;T&UzKgPRRg-qE5B^5cBiwkw2a2UGupDZCA4K8>;>Bx~ zFA9ST(=~R_9R6(Aj#-_uTP~_Ptig?j=L7&3j89+1c<9wfCIi3yL6fx8a{u+%DaE4N)sM*exoch*@GfoYLP7-KDJC(SEwizCXfgfBI4Q@X^o6;x?|VPD|wZvfEt4tAvUVPmgx) zy^y1(iZRO%{C0jf-O;ou!Rs(_uxz8kL_fwiQXXPS8Jzl_kw5U(fW>r>Lr^cQ+I0^) zHOnR&UaMlGQle-udPhIjdpYUy6t>fVIEUy0IrH24luMl_C^K}+5 z9qg+-Q_^-jn$^t9Pl;FT_fOoK8d-7mYQY&&+#`1C2HRc2QKa^G8t`P{Mdw`Xd?`CI+ONlIU-%^K>WMYMTd$k!OQ zm^RERo<35#B2+oGxlVp|e%E@arQ#GL9ui8iAI|O-#b7hoJ|9RD``eQ7_f!S7ARmxd zaxqe!*MKD$*`rJbNKUk=cPc|RiWzueqvu?m(Mo)BMkk&to#$8x z^_cqrL@(bdQK${#5XaELbnd5B+2jqh=1GU%3!c;b-&eZcG6?}xI!|SeTIRjoawdQ%`%2mE->nS z^_>_RZ6O4=#fOW@%@}R%+&~nMy;w-kRX4)~OdxLNy-BKmYx^3$KY`BK(37&QO#a>0 zp(n#X{ooYlR#z}WJ>&%gZ-1cdl}%@3VetdAkv=n@8)H1IBUx+(BRu({n?ezWDS1YM#@Fo@t?Wk(bt@gP%IUzbdz#a2!Yd0Sq$2t^_99aw?<^G=X ztXp;{&kks|glLqx4UP64CExnyI?%ByBV2zmN&X7d#GV(|>vbXylM>&TWuvZIJ!ghd z-%l`9Db}12avRL5Utq=;e8?JG(W&1E7)xxkXcv6g$hHI~4OR{p`o@5`h|md#qCg}m zVUyLZPAmKE)t*yZIv|oz?8LZPMoqH@_tV}H$P-K7L+0}LOwKZdA(XL{>&ERkt2Pk+6Yoi)COSxu zpZWPSPX(2&l@(#_JDE`XlcuysJNW8~C^OgFOO)02LHl&)^Gn$LE%8UFfae5s_S)S)1y6u8a?iDQ#|}{mWp(Zi^wCd9L46#neJ@Y zxeM{Ix9^>BpEh>@#P~1>8_WLd(HDZby($eoE{N_+_bow$ZD~wg`8&k+(Z;dh&I_pZ zx}|7nH@^^&u*T+ouHXhG2n7gpkA`@5lhpMo8w?1Bp2#FD(~-r@sP}_^Q;cBU>4`h) zu^SJI+bg-6(%ovi56F^d)3`}s!ZPF$YT}fiPv_udq(kyu<@at05!875?(h}Z2=W8y z1CQ7w47~{_MkRn1z<>Q$l+a&b3dT!vcDdGr`i$9xjgj_61!Y#_DGP_ru~D{MR6vmf zl3%jbXgJF(*bpL3ICr#*AH7(=lfC`5MXFa26XRi!I*L+n%GBlKg_D`{N>(_mOI3Ti z&b%EeYGC59x<59$-|p*g+}6;MIxfwUWjNjqk(j zKl#0*L>g8#kT*-v6Hv2>09p?g*b?KY{m7PpM_jHAzB{$%Cv?A`9cw zg4!yWVvjB_@`M$wYkEE@w?CNtTG2L#CuCw{H+|_eUy`iNx2V~&KiwyVV0<_v6j4^Y zLqW!;Gx)$&b|U*)K4;v`k`4}nN*>3c$ORF?KoG|BQ|}~L&b^0&$(SnQw0*1{&o|I> zeZ4NmdpXx9Sdn_L9G0Bmo%uXm#GHNrGI3_;jd?~xQvf5_t>iG|p+qlL1rcAUVIz;9!6>;O2wyx2^9jJtqZ>GxZ%y^@>v@uA zG5Nifa}0=liw=*S#cZ|fZ_uL*KJUW3?$LjB`_5Z*ly(OpORRFGUv|n}gL%D`Q>O)f zG6{^{sslz5e2ee_BJDYf0OvLqT9mY(*;&*~za~|}uTQeXP}aZlT>Vc;Zd^(t^ji`Y z)MqX9gs=Y)y8#fJ)@j=By~{P7@;mnk*f4_cp6eFoKyKr{m)E_reHFv_$|dT( z22B2+Y72r)^M(9UHGzb(+NwW^e2J1_UqZ%3UN5^XzO+lov_7r8;2;m{opEdVy-ge(E;&}98`v!(L4WUv*N%!GB z573ZkYt1M8+mP)dp+^l{|2WlMa}^IbwDH>uP2;y&ZfpW63W`ZdjF?5iL`2{e z7_@FL<&=k?&1vA&8uIp8<$v&K_^8Yor-FrPcj;WBmkW68sNMA`7=0sNj#`(VtDM{c zdO~@n2r<|(Q$B<>Y33gb-~|?-%zr4c z1r#jyHT)zjyZ!tpi&9VEbRFad;zv=r_{jB5zfyFuWL2b?QmES+2SzGGm>u z3?TcNK1MK?$qwCOLhK~7vZCVQl?hM$35_+dnC{- z1?;i`Y@Vtl+Y5M3c5VAA4&&90yJBe9Oj>2T_F+C*`S+>utJx2(XE}Rgren_1FCTYc z(CY3f%LZTGcKf$?UQTo86~t39>aXLxMz}*nmpHPVXFzC@EBzgo{|QM)N{shs?2iNZ z3q;X3WH!JZ$v5tb$Q}A$&X1AgDy4-M4R979zGt=TvA*;;-l{z3z`*$b6?`QPwxY!_ zb$p3Kk}TDXtg5e1DPlbD_fCO5VKR{0%5rXC74ub)bM%iaKnJWI5Wc=-T&Ld>3Zz2r z-z7eurC&qGH{iVY-`}_efiWH`q}{~)Qs#K8HWTB+JQ`)2I;X*?mkPebK1o~m*h2Pz z{P7fkNWUnu>gZ_*UxpdCaYA2i630l@afe;G=sYW=o7|t_lHE&j+vAqhOkfq_?Hmq4S-~J?QLa!l!#yt5Y_;)-Io(=g5|$Z zR&$l>*}X(h0LXK)oqb+iMhfg1$#QbM!5^xrHm z)%j{3lV32|!|HC!`-5mA=X6Qrp6?hb2fgy#Q|7-;HK36`E1|$23!p6k z)VF|=oEtc(0cM-_b2wK6<@R8?*8elvp9e29pz^)DoR1Eb1j8>`U*Ip+2gq#u%lG~v zGikTw)%@EoGm^hji`hhJ?mrau7aC5KK_E61fTl3G>c`y2CwW#6-8D_GYy8`b%jlPh zFJD|{=iuS`^TlO$&cEJVme#j0v^An-N59Oh!1l`6%vRr;?Uk9Xt^P~>caZn`LPED~ zY_0Wm&2Kx#fdjj4H#{Zyyy@i2hg}-WB|&lXim67g2HmuXz>x#2U)#mCti(hu>dRPk zQ2NVRdS`;5h}#v4qQHjB)MtAm zt7oGB&X!HlPR|zow}iQ_p+1|c@q4tQo`QJ(e3P5^|M@022(8lF|DsbiQHUADTG3MX zoj%)3eS70~`U>JNZvS1=>u@I*CDhsGHqlizS_)d)BwGI4DOZPcRSIhySMy8vRSQkd z&MKvEo{*2d)F+DgdHc?D5Y7Q2=?-(`V zycZ(cS&-K5X*t2?jc#<|JhHS#@NtH5#FqFIytqmCnc#)e(up65M2Y-)I{L4hbZOdR zPMGDosi#t+m*-#C`0m`cY3Mlau=Cx8yVITlJ8ZbWZa^`$Ge6f~J30~8DtEMb z6}=PD3%_msq-m4b7n^{Yydy$IuB`5`NGkBR_OH z>TZh^(?xyCypyQ(+(=qv`MG*bmHT>iq*v8G=`*WtSeluiO=`*3;4uBbD=2j$dw#Bv zg^HlqrO`hhCIV|+uh0Q=M!#Ym4bio7++-N$T#Ykacwmq_9^$gRRsWfL04s(ex97V~ z<=BrEQzAt?D&W<)F|(x#R5*BSVZ*&iw{0{s%H{BIJGD)Rh1`$>jF?8GOM)Kv9J+)) zwisuu3W^tq{u&w;tiD|Su}VU1yKo^DY-K})t?AAJEY`fW+zApueLB8Rsj5+!AREQq zyVrkQoZcOz?mIwKC-uy7zO_CLjICqqwvm{rVfOX(^O#k}Ez^On4flfO<&#wDcd8i` zFiBmcIFYnf{*x2u&5%ZDtqUW9m|wq<%*OcGkO*w0C_L`;h#O^8ltP*F{D&B` z3!Rhp76(PDH)5r)wbUFTJOx+8_7iQx{OH>t_&fn>Zk@AE>plz1DKo-IhDf%2Ue>iJ z3l8wf;%UU)M)|0x1H>oJDXS4E0ZP;tq;(T|{N7VsFq@Q*a;Mvs zK3)kkPGBK1Cy`;W&}4^BK3808O0U{&cT;-S-Y*;o!qsv-?BA`eb_m<+2%tfmE|+ zTZV`Yk)UA8LuRX?iF{|F1KqqH*YSOU?CeYzkZJHr1RE#Ia6b`Eoe}woh)LltYv(uS zmY6&)>y??%P{mjSz60w0_S2v;s!k3Kt<{RWApBp;w+rt0`H+8itzs=_hhqZ&;&=pK z_*8D;NsWN4J2dLCh5d_}pD_6RJk~pKBMD8e1V}J5E;!3X3Nd$cIgcglWtV+bvUWa`Vi*`S=Sy#A`$2dK^RtEmOEYAdvJn$z z-6jf7>Tb@B4GzNRI}?Ifc+}5=%gu*!2pI;J7g{9Um7Y=3V@3LNL^sz}8TW*wVmag| z3J)uJtTGsC*5SRMg-$5+%cLhhD>5EWUuD>@Hdh2^SalG|kVG!5pE*w?JTPXIP2ZR* zdK+%MH5KMZRWc-!cPDlz)QJJE#+QcxcP9wxm#D}6OlxmW8PrdOZ^m$gW?UB7o)sEE z;%D5%^L$JP1hrE4=3(32b*yJhM@A;&+u^v1;0pi~Lc2=GSTcN>K!mB7x>y(`;-OT(|P<~xS+y#w8zk=3Cg0=c+J*86bhx8U2m3)nYF zL2}33>)p=$+UjV$IxxFB9xPS|6gMervsZ+Nrsi35xaeB?RIq}a5V)f% zM~J!sHusIj=IUca?I)?SG6Qq_dnGd$hX?mmC2jCsYTve#_Ed4^tFtPm)MBK~c5cbGkqdpDBp9(^}CDZe6{+{vPPNiUdH5D!7k-eVr_KJ$xn z+$uQi1Giffm72-&TJ9bCeD|2jg_;5uT+>?0KfxAVX84uxuD_p$H_2NcHj=S9yLD6; zFCUJ4b&F8XnU8$f|C_$t`p{Y>LF;Mpi(Yucdcl9(JbCk5X{-^-Ul=}LI`Jx7TL{Oe z?IUU9HFi%d4r#n57bFgDO+B?z76#F zT(#}%yxpm=>3bKes9Z+Bb~f$mH=&iz?-R4KsT4@5Pc`Nnu@zX~tmAn#x>z@+C4x*X zQL&r?hapK(ZhkvVO#1>;v*elV(s)eVZkEQnKQHXUD~LCt-^7=>50YXJC3o{`kw!sBC0(XXcPg71n^Z!7_?{M;hNTB z!JAZL+~H{&BZcgBV|qg5n*)u37$gV>?ZuKxi6AkZc}B-tDy}mR?Y$u)`MfS%$WdcM zP4t-IKu?@tq0`>_$hp<^tARGoMSdzMzpef4*7FHpjwnh^x<@$!I&~a|JyoE|36}dO z{6T!F&Ov=ql;xOWLR^ei>+UG-b>=D)kgm0ya#xiP)H*J8VU0luj0b-Ss6g`x|LKIz z*#mwDt97>pU9(w>{;Y|#cS}Mpov4-@0UD(y)&ZG@v|C(8!xv|9YxF^{pn~#QrLj-> zP->%E`3wog%1XSU8N&SnqpMvo!N&L2$lN6F6U1bh-RqX#*o!AC4AL?+z=W6=`PEsY zdfkX!?X`Hqk*GN4DhXVMmO6{j@>zVA6l$o$0m>YIByv#)bOaAmjD=qWQC8l9-Eklq zQFQN#3UU*I>;z}hRCD`BvJZiDwXn>)B@^tYhO{jd%z?EbYmyioa5NM5ho)r$s^KZ) zoYD-LwI6a0#W2GChVO@gEVvC#;xmqm?R%;hF!B8< z_3--n>CBXbL)c#Hav&nXgm7%isgn$Dh!b{gX(nT!RggX%b1D9I2Qw2>J16LTghjjd zP#O+X zCKya27Y|HlF1}Z6H7RLh2QiuSgDdM$`_W;X&@Uz;eP@V{GU#~^k2kUxWiS#%_$h@( z<7*gCy7+4?7BXLMv)vPYW8=-UJQR0gBL%`i&7LmoTF|Rp8pkwo&XK{-i2cc!`>T<4 zrW@;wqcg7YEphIrn%`r{}_g z1667sNd|R}3sMLA5oi3WwTDgX8`q5H?1ONin-gbtgMxWtfLBL#Ev!(?o@`M!WDz4y z0vZ>0Hksqj)wHdR76r9g?Gg4GE=%R8%wqh_$kqtBGbvR4HAM^t0yq9}jYt{9^m1*7mJi4odwYh*Pje zzU+#%jL&XVSVUyYd=kvmfrtT1`SX5U))N2uQO#UR>fti7PuQ=vT`J&(z!IOt6!pHb zbsD^Bu6-nyw@SijfN=_5XypQ8IVG_vbr;+$jf;P)u>YUl~q2yJf1g zKEj3U8=HXm+ox<-ktAOYLu0ER4*vTnN^h;m1A_hZ*q63sRqRd&RY5<$`)P%JH(dBI zY@T@W=&{o~m&j=zckPJzim`;Cgu040QOIUxcW$ZySLH3Gx7M`HThIMxJ_3Hoz5st% zG;s)St0FmoAgs2=YoJ0ddEi(>q0!$vpL|wkjQ#25fPhqQ5*>)wR;M<<;9n<)f2JA>p$aHY4dvHw`7tWr77vJ7^v)F_ zU%&=``Tr6nJ=VyU2rU>_ccA1(IMuax;`9#f=ryC9#OrGjl@ek#$=fI3r2jD5kP66Z zkb)e3o#`nb!8=E^5j=sM38ajFI|ihYmjn5Ys)Q(v7N=Haf?08lD+@MKI8-{lV6+vJ zVxP;X)?koE<~d(^`bZ0P_3ay`yjbE*UvsM6!NrCci@HKU$gJm}k8$WLSkYI=RU$Ee z6d=t(g(PdyyL(i9-RWM4iIkW4~eBHR7y(WR|Gmw)E?&5>*X7j+qWY)Uq(lgD9rNz=twpp4gmXwe8h$#^%BfA|k>iMNL zmK_7~0o3YWR}dBRRrR?Z&@PE=HNL)O2Dy(GSNYIu*F>*93B5SoA$!nci3HHu8DxO< zO$Uo12xpyi(!w@$tfIp9A}tSh;`9Kyr!Y|gVL*_jac|oaKqi1R7JScjN@~J7K0R6B zV)Ua~cH`ID`A9-S{F+Mc)bBaVy(v?O!M!%+vAj?6IV~l4b&&3Qy{golt@*qzp9=jA z_w?iS(z#;i>t$pai3lMZ%hUG30p<<-K;Ov#KsYwLup9E%cu16vNX%Od56nj0*0gQ(2_-45Oy}oTSROG9E&&pJ`}n+u;sD%F?O_zlmf@-15nvBpbH=vD7lMXG+@d?m7fbiJiV7`rl4t zQWg8Ae+29dlRb&m)4czQJ9jhG-+H7t!@^?kN4sXvZk4aYX}a?FDi6mAw{NkZ?Gobj zzKwI$QcS83+*dEn8{}Z9Hm+OPFf@orys&W7yr>%YG!^NCC&rZu<`?-YRl!~1Y3o~` z@AL>RSi-M^1pdVntKew@b7x)@Q+s-QRF^eSDb?D+(UaWaGD%GzNYjLaAmc6;d{$LgCRx8l4>r6CK za#tJjiGl)t-5TvCVJWf0ng_31Y+w=~&M`YX>tJW_ zgwE{RgOyET#Qe@GxZ3QXA52*qhE3yRf*L&iOqmV0;7hDDU+~ph(gde-*>(AXy?P?X zsY=&a8YjFEf+LFD)n;WRW@l2_o?7DOZKcH2np=)aqaM3XiL8^o%M8H-KoB;k0|AC3 zi4HIo7U9h7(}wO9SzF_=45@sCD~+4|JPiaFWlQ8$bGVg4vS9(0TAJ8g-d(+NAofbf zEin&S23=w3hPF=dom2>cy!bXbv$nfx65^_d&SbRcp_zM|{Q^xT+~1WqNf2W?^G*p- zKv3F;@}A(sAH>t#G(Pd`GwshxW|^V3|8$K(k^9BXZ>ty_ zinl^;5G4O1WwMO(0*aH0+khauho3A``T%Usj6|dGC3g8V;x#F0f*vF8PCNrxgnQlo zK84>a(8t@9OLPECU#^+Ri^_Xw#6lsV%4yPluY#q$VsE--c;TcV^WQF{VW~`gulZsVV$E18g9%tU^e^O*?USO^}6xZ<98q1Cn7bth!NA z!LsXj*ka*ll!S@tRkn;eEeadXh8#Q^-CBKGdCUL9oIn#Pbt~Gz-^~_WD+KVGzHK+7 z?!tT=)3jn?%`=(GV8!cBb+SnLq?Et?w(Q1u zT$IM*xoWj}X6%o*yxpPz6}ik&40!wmRS7*SOmN}Ccca&sZPxHsLyWa3?BktBXIZJ1 zgjPt%XEtaNr8-Ck+>{$;tXVs3~#x02_4YNH=%Wiua(cu;qSFl9PL!}Q@FJieZb^`J)2C3?MRir4Pd<| z=@xf}oveM+O$H7vEKR|FMq`x<9Z_2uXI~8~)y0pFHWyn^b=l_S_lV(VZN?M3OxZwY zvn3+MZgKKv7x)#$O}bcmB@^IwPK0~;HdvO8Uvt?6BfV|z+2=j2wKay}2b`5(_Tu6V zY^Ipp&xpXRTA*)kCkqz+3lXBqRX*#7In-zvL!~b1pGDO?G%s2CIxR)=F0U}&;%e$N zFFrsIFVQQCckOwOeU%T3xlDd9z|A2mE+eOX2e6D`f%MZhC|l73l^L?HqKJ z|3m*wf56obD{6pDU$Px`tI1}Qb#kh<2i zDjM*#6ri)Q@w<-_Y@)~ve~B9MubguSZLeIx5&#%Fy8s$o8t0$;Tg);CSX{mPe>!$R z;Cbin=R?BhpE;$`@Q9WGz}#DZ5|{$s#?Jt4FZ_XI_*tc=(V}_YwKL)c0MMO3^Omla z=Pfb@EWM9IV6$iq61K@;i`;tfIwo{cz*YRa%}R2a5QhO=O#{3s`oD(eHeee)9#N@} z_ksv$geIOI=)Ce2ET4XfJK^*1Q}u(_Dze?DlErpgX<1T`HkD>AhNzqCr)446sF6bz z`Y(&2o;e*)Y|PmvcWjkXy}s&y1-6jeflm+D*DR(D0UN5=5ub5f&-OCa>Vzb+LzgAFfgVJ#b!uwj5s84|7wO`h89P7lkn&1om3-kF8Ue0zFWPNK1bgLX4unm=h znGszeFI)kNI&}V>Ll;@iO$SfBF(pCUhi$81O`z_pl%3Qva(ENiwN8YfbJH=7pju{l zdK*y3pKQ?IXH$&;?T={_{tq@(lcEm%O-9P6#$jTge`SNeik_&$1k6I`UU0)kLgI$o z{YWPrmy-f7;Tk!8EPxAq`Y(MhGXU}dxcLwHhNdhOELgZ>i-n;A-)B;@i#it-Or5$m z&?tho)PIVG9c-Z|6VqFzFD-3LG(z5(`c5y#A!tJ0w!}i=?92^7pt(qpq~W8@u9 zHyMNkr$1o*y{*y*c1cI)jQ`8AZR!TJ!=QPTGQoXZ+84i_J5Kw>AAIIlRSw{=ufxyM zKqnKMF1PxsU~~lh+eLqXbGZziPEL_4@mt%CvIF8 z1F_oQ04?3Q#wUzM0nLd@NU1lx;*PVzM#Y{r-7muDO#|0h}hT?Yv+Gw3?>$T|pzbX2p| zx{fv`YL}n(6-|O4Y`5y*JiyfrdO{&aAkL2&fNus&a~P znO*+IN=Cp;NZh}-=Sup)N;+Lx{O1ltiPV-qJpTTG<_|RezZ`SK*UkDxmw;8@M7N^Q zGN1vI^ZM^tOG6i^%msgIK>dNlo*00|0jBl&vh{+g0xHzE(5ki+twhCuz9%?de1T4g zV*!5dEfW-Q{4n?XZ+jcw{M{mZeOdLswF@I>=1;6%$o~9bWz1=*pD6OQQ2BK}C{Vkq zuN+-|{vf;hi=q)+wyo|0+##Xr_<%~CD+s6mKJd)9!`?+DsJGjlf^RxmJ6_`zSCwIF zWoHrd{`Un7D!9BK(1#%xB9ca!xoaA=Zoz^$R z`R}D3d*w&n=z`nTR(t;P^?$7W-#p!#Z5P2#1#vc6uZYp&{TEyKS~0UeqSyg?Pmj&{2VxgHngK3;J;;uGhQapihpdc2n5k_U8wn*X8E z|Lx43{7r^%&18e(Rbv(1oArTXhj1If zpe^ekcHO%VOxp-ld#H+7VmFlSfQtKB>1bKa@4oHdF0du4yoCd_DA{H1&;l010eTFW z(m;sh&>VE&f#al%2U8BPFGh8MzJQ=mw)iM~cc4Sloqf{}LbJb>NkSRe?~#92ZSJ>7 znAh{D$jR>>%lIU}ReptmLmC=2;n(%z9s(uERWd+CbV`=e*|VI>I^V@7{;Jk(L5Zm7 z%Wd4d?=@mETW?21dh_ z=-K`&xB+FM^SOG#j1NNyP=p0rya3&zv?cPk3dLZry!9TaOrJch^bs^Y@=(8IJ@Fnv=^HgKtJtRKk|Btt~43BF` zwnSx+ESAL#7OTX}WHD2TnVFewi&?UmnVFfHnVFfHr+iL#-@bG2%zN*9^UeNMwIO$A z#EQt+nUO0UnkRUybJaYW{`v^}&*l99mDdg83|a6x#paAhT6rnk-#fi+k%{k?b30l6 zpqZt&bbGLTE<==Nrg+P)34a`9NP80s1!ayjS)=@t_B&}c>W@|Z=jQ1&iKYHyF!$e- z`X{mg!RUx*3HV)*pKk-ysCh$|JUdxv4;&|u<}YLagxB7b|CiwY-bj^pW_a3K@-_oZuHhGkFMfFnQpQ;Ainwo5E7H z%DTB+HPmCJm0qQqrL0=6TprmKFrmrkF-auIZ;ZYMzH$-i=lH(|x$Vzdqo0%A_r|ze z?)|U-RVTp&m~Vw=s~kAZb8hjrQ@_K%Fl z_!N4NVJ7;Zt|N~m7+RTUI8s<17-QLLsMyIQY<>ZR>Yt{$vDjb7icRvov^o1j!8X+4 zH*ReQlIu`fd#4QO(X8xurd=9JN)Zjj@Hv z%R=K&U>aYQcc$O=*nB$K7#dXvn|e&$AGnQZ&D|>h!(8Ojm5OVkM&K z5UXFGUas(^(dgqXAlV<6$RZA>-sUJD)GQrAzjaobM(L*f?^a2L9^_vGORhL^xB3^0 zQ$x0Y4^Z|Ytkzs&<~&4}#RREdcxbIrZ(Vm~vutxYG`&s?@TnS5@T}lsca!*kv=H5C z@H1xEVUTkprg6;cZ$Av+uhZQ`a{lPdLoy{1uiI#E9+|M{?j^=DusZ|3PE5na;d+{u zq05BK6i)4J1-zR}c-hueOn8b!`b0T6fI9dv+2Rs)wHIxjls;9qdO8w>i0N?rf*leE zSZN&kSezSu8K-noVTxeO0q`+ z21E}pz8E`FRZZba`H`yfZXKP4SVwEIx5+r?uEp(Y9e+L)J4bt#qeATA>RXX2G6x?5 zQQyZxSuB9qnyXATL$K#PYv#K0l7pqNz3H4|i}X7sT&4bjhBY(Jy#*}3*ZygB&~U9d z71?JKw-kyHE9YBT-SONQH-H@mqJkdk(S)Myfw&_=HXx-vJ-$&PTuLLda78gQ*%6T@ zn^#|9H=s^^xFIz-#E|8BN4_|#D<&6~-K#k0DQ;zRoJZhoACF}$DXMK7(o$kN@Mm!( zPJ_Qber`{+kB8adeKhM}txNTKzqKrIqKo7$rwmZChDEG&cDNt7IQO|7PtyYWaE7=x z2?5ha2n{NeofjaeB;;2aLFO;D%<(PRpzjQL1!Ed**maibtv@O5H(M-O+V!CLll8>U zM4eJ-(d#T*+~!&P5q?((zpvMVIEhLBc5>#{VB30O@0s>0iM0c%jx}p8yaIEpkC;nm`lS+*C;kz(!Z8Bjj6 zFKKIi=i&T_i5Hb!B?ph>SaCF-QYWCEG>_kvr7@>yto=u>SKQLM43(VR7~ zA<*FA8HujL^9F?sRwoy1KL{57+@$XzTmSr3-s+Too572TegUd`KcQ@R3oZKk>~_6> z?7@hE2l?@2nNEaL2=$56HG)!t(0_~aaM(%Yv#2H9ZmeTeo>y#5m3*0!X-M4=u^lT% zzx%RkWk({8aRvWW$Bt&sHCt@-69{1uXD5w@^I*Xmi%CoLkf2AuOiPc0+zwj4n zYMI=wzO9@WAJ*gL3%%0X_@U^RUITQP(P|l9en;$V&4KmufxLnvRddC<{J=VtOGZqz z+Ln^7_@ur#^c-5+Bluxov+d+l(c=__g;39y6J)#5t^VKv{93y>paXQWtGJhFB%z|@ zK@+v2M$oQSWC#oz&o6Sqv>$^$(GB4_sftI^wPE+qtw#{JH2_IRny3IpH+Jj2@g3DN zo#`Q0g<;pkV(JTe1uv7O`y7Dmwie#$}LJB zf~6{w4bNJ`m+5BHms34xsqrt#F;LKiLc4hfMo{X9Qh^xn;FroWrt>gF`yK4)gT~pY zVj(ioL`Mxkv?nW-`mmo2pRyY;sAcT%M7Uyq5tjpgE`Psg3es5zxMY#;Lx3XW9nj)#mr zGUzfN_6?Qm+t>B)Sfod$5S|4@EPOmS&4DiA{uE?Inicn#fKa%O1VHQOT z&Wv?^lLLunzN{08Wj?vOm-yhC?{7wf!szXj$KVG9LvtGv{Wj(1=tLY^)Cu}N_2ZbC2m8-MHXhkFh9(cZaSRaaLT=@r|_g_O*hOA$T#LMSUIFvGR5&%fD zbnbVJ4<2)Sixf;p_q#~FltPk38q_dU(f7&VV- z_bY#a{Thz_daO%nB^~Ek4X;f=DHjLC*D{%bu2Pa}LSA4ZKIW2};Az_TF`}-QU#HLN zZ2l+WG%B0V=XaEe`sVFFarF1=`srqY7|-pYf?MObYd-8B*P!(bwCV@<1y+9f=^uFM z1yZH~`ciRFq{9RD^**)u9wAU3Dn6JLG?p5pl|eRp^4h_Y17Slwt_k(}>g^Ku$?opn ztKu8Vh@>@B#8M=fl}vY#T7F;@Z@0!UniK`rROVYiy^X?DY6Tx+&#iwv-YN2*BdEk; z5Bpk@Gf9(VHNxhB+-6eHLmAd!EDCF9_MUsA;ui}cR2BoE+Ccj~S~V~$*ct<|U&!g# z1ajfI3d7h74bp7FF#u6Vx*TgbKWJkEk!4K8cD4}=WH_em`-*0*ISoTU=wkkYibS9F zwUJTdKB$&o!9k(}F7D|age@>}&PN~h$=V&Zw#3pCvmm+J`lCeA(%ku^VXX0%lep^o zFVzA5eK=mBXQMU@%PSxt>wkg(Gx;B%yERg z7OVI~>2KG((p*=N+zV)XeCom0aqgw1g@lqh4`CSYRHeeB?pY}GpFgenqBab-pR6ig zUkygskBrn%2o>1~G$zMFjK|LZQ1HDCP3H{jc5O5|`tGld0k7n1vKA?$SxeP>NlgSa zl7{yRBwCdUz0l$c!FhQWp~6{b4dGcX>uTMD(Ea>8qn9Th)fGFGL7ob&kRHOd#0fZw zlYm@#8QtpvR$kldinZi@t(TXlC@ukRHU5M70+guS%2< zKZ@Zag#PwbWvuU=i%*nGTNAWWfkJUXaw2{Ef#M7HlA<58Mta@d@l;c|XmNZq9Plpc z!ybEV0$!e=OU-de6Ewmwu!v5XD;T4g(I_-prv5R7>$^CMFH}wPgNEX9ki;__(o(8E!Ndn@o4u zjj1<6%iRL{sR0gc<#RJw#6SSo_mes{FGn&2g)Yt^hVm{5E(H12-v(BidevAd`DZBh zM`byOD7VJ7FNiQGcV8yz{lEK7GtS@{Olz^M-c;=YC>0+BU#JMp=xsY)bD`}mQk}OL zS0L|8KDTT=IPfrkYp9rJbg;;fksXr}tVY>sggasi*FA6U$t~f1vPVWlYn2Br(wV>Q zwwz5hTq|skXG18knoAK&i+V``n-6TqAAUHx*apQdaT8}!a+FJXA&-}=8lq|@_@H7l@g0q%B9c-r+@ z=-C*OR6^_wnDV#LmsI`OzpKj+DN{QgTIcaN4Cfk5qoJZ|72~ZFd3oy6_xOyx^1o*) zZN^L|CbuiWJ|x#~15P&Hsp-9FC@zsjTsX)tHkx7JX8VjK<(he zNe7ivOP@80ur_Bv7)VmYc)mBN$_x)jkh*e8VFNs$m@Dh92yAs;wsn+I)-bcntWq#( zicM2!0v9~ih;yN*>xAn8C5KgqdGXB3i5!I78ss3>U$X4zJHr^O3xvh!O1I$#KV=4V zgP>jewGUdI_B3BR?@SeNq{u(ILCj^EqBfYluna2{T*55r6NtX%VXkV?tL=U~kln0E zPvc!$SM~cebe8o+9TizVPtk>|71|hBlX(Pw1E9L(oe4dQ4*-v}wFd75ZKwS}wS}(j z>A&>V?M*@M0<2WrvAFBIi22rsEfPDq33nW4yUx(2NZlS*&8b2DHw^mM$s!;+>fIu7 z$L#ccQcU$WXiG>AU<3MUMr%QVV#1lmPQtd9sE2$@qPa*o`wKrVW!9rch^otCb4#S| zPC72HVzB(D(da<2dIE09_iB4Vjsc?&uEg6fA55Ga1%V;!HVR zf$)OYI^7?t3vc0v?e-;({(Ah()<<5AgfK={^;fTfWhg8wzf@8klgSuK^p`;NU8=)C zCF54(Gj6laIKNb)snw23#}&!XH)!KdKskFw)INwW!+X)kx!a$>d$Ou_d%h|+rKzAB z=2bQfV@^-?`Y10>}Z~D3LXBBZy z0VOYjLVjTy!R3UWY?4lLk;%g*oPgWf2Yei|#b(3hhRPv*%B-+cmJH6*-*E&G3` zDDnaoHaj=n-vZK3uxK;6<9{SO<7RUD$%oN$>k4$&t$NKmkekPBPbJf8uL59e=CgqJ z_M5sy4BlkQ^r>5h7S)4I1Sfm~4FpT2vmT;lO45{BfDi7`v1; z;IM*Ym~SK2^JnN)qjS<01@OV{h;X-Rc9)WC_Zu5g{*T3--)Ui}gA(3^+2Le_f>*gH zL^wX}@-2ofXD(O2da=&XZ0ge72ipW*_2HmTDi`5eT(@7c}k$^t_|9HC+D z@zSezwis`A4lvY9wSHy4DB5Wl@Nx+;n?I!PG<{1T&IvZnHJ%|KAQ zuZSs6o}8Az)<>Qq+d&iUJw=D|cDdV)KbYJ!WEAO@3{TR;IVqTenM1DU6&&|oOp9SN z^sv&6^kpN~PQ5L#d#(w+p;tX_x8^G_ie4y6w#S72%`&^$OH)oe7S)?GFa>RIBmz$& zBKIP@=y`LWHWP8FYan>)_E-sm99Y$DAj~t6}P01O>OzzfqjZW_UQ2ey0Ii zHV8r62W_^~M3hiHEzitFVpk=}NfEg&VL**JX}c~V2mRc^rAI_Mul;h}Lc~J97K};r zu3$A|WU+>OHF5sy1vKRN8P^&BzPB(U3z<@J!9;6h;JmD7vIN@6%P_M;@9LY^ByKPD z#0a%gCks|dVWACc?iwo$#pdoh=_;oY@}ch1pbl@os{8x>(PH^>OO2-j6gHAn8&TmK z4{4=&KjC9Bx$=~<7dE%<@8ON`L@btxVO}p0=tt?@7;wYvUZmN0y`C-As7LYBH3tp~ z&B`8dY+|^iDw(qOL~NOS6stHW@Kx9cL3B>nFzPUEfH%?MRgBQGA%=`$7?Wd3ReBFu zcxXp-zQkDCp|5tptL7_d3<(u)c}1Ozv7k=mKt=K#K_(~yGa^gE<$kaEE;s}rqkRIb zC^{Zi6;ks$cj;Y)CVNSWiXF)6js~DO9tye-%a3I z({Kr|?3XpgTRY77fWp9%qIS6n5MM z$#1ped6;!|3^$GQo6W%+0U9r8;n&D7FDo&R zvc{W|*Ve2YT9dDBDDADWF2X|+Nq@V~Uo1K&P!AVhQ;=$d_Dy={Vl19M9{eahGJ`Wa(tcn0a&YjylkA7ZY=(6X}`!(Ez52alYA@ z7WEG6XxwjIQY+x)mNjo5hE~c-uf`tJ{!WiitZJC_m_rP5+I=`drb^Qp}!IumrnS;WIeVci4Ob>be zQ;FiSY5~9XgSRcrz&t!tp>`T5Betk7_AR&o5QWsg9c~@(^9kUTbu=idZD+_JmLNLu zh-hs@l+-FMo%EUg)36)}wL020!0T_4PzH!hv03#az0iXa>!3ossJCMJt? zu1$NhL|Ip@wpSRpeV(@BCXVGF?l`z5E1PYP%s=L6F76o1vyXL`**>_7$FXn+kN;k6;t1Q6{JO@0?8z zic-UiiEFs!JIP<9+o$~TQYeN}kkZ=oo8VSyWu9bTGdTF@d(7)e1_m~v&peWv@H&{B$oy}|{)I6Y~z6%|U zR|QHhE41eVUQR~1SWOE=!?ip5J&B-ObGn4#r`tV4lW48NBEm1zYPOc!5u0kq_>1e` z`29X?!1VykJT!t3BiCUtq30uZ*^{Pqkl7o28 zFe|t2pCsJl{S8xHvP%0VcWvhe1vq>{-2?HN_v+5Y4j9f(Bplou9a(8fC$NE?BV=&t zwAyLRlDB=?`v8kA7RSRu-n6elN=LLFtrNAL_83Q>$!A>aYuU1;0g}YVa=e1^&dEIe ztd8PVX+LwB9D483-ze8t_j`Hk??l8tuPP;y_TNW#WQ`YN1rkwvzuKKQq5&+1)#ZKE zDD^=cYwh?|r!q5Fnpq(~%Tm8jW0eE>p|m!G=qUSR8ASGasUIlSIBniNAgOv8Bz*;=4B zM0Ed}jhSwGW#x>Z50C^kk+h#xQ{2kFotSV{ay1hUMn78N<8~g&SehAgRx)53g9*ua zTVZg2c2|K+3O>EkNO^SlOmV?sr$+)(ao2O{jeO4R#ouk_V)paXiVdh6ujxBJG6Vyw z>Fx9sdEMU5VN&rge>r~aUF0!RN zG5e%9^M;DDW_va&gSN3GQcePqTesZO6(y zIy}2d(!&=#fB(Y$!j-~i>O9Eze9~BPw8x9$T5oFSF`c`E$92{$d~-7Zq`h_Ebx_Qs z54@kDzByVlFy;}$Hv5ImfY6@&!c)0j)-B2L3gLFEuouwe1TEEfJwH1PB0vnEgbPoC4JxK<{{`;#!(} zDt##E9K6|T3=sw{-#p{rS8Shd2-ku@gu;PNhz@}L-5HH=E*)=bh3p?Zc`d_ z9ArTm*7Q&-R{={GlTI{C;p=$kxY;;S5Tdog_wv{$58-E6tXcwsP5F58*aj;CND9jB zQuW#`500ua#dk<6r2)h*x|sXg8SBQb1rLQj(DQMoN}E>lcK1cl=Ha5qCL_&K(c8(S zB=ywRhqy0xaL0+z(-=Ue@>W8MA}4?a2g6y_Uf>OZCZ`|i_T%3G=!$E9iKuHf{3+ji z@C~E+L2r55XNTjf-RX3;CVgpGiv& zWfz8&nD|*(3QN0U+X9&;v-qJX!rxIn_4c_9Qz0*Obn#`I=w|CkKCNYFHD@Ppv{}mM z%^DykPVpcIPXCiyvzhotOu~tq(mklvB?z!w;d8Y{i1ow`%hC7(o^x+zIh&X z(wL4i>k^N>ok5v3HIUGl{c;`(wtf>nsE0$MT;=qm*?u(t%TV?1660xQ@|ue!fw)}v zT!Qb*o03*l^W!|WYn9DCoK%)@`_8*CP0HNKqc zIrEFqWhTe0IJnjq>gvqH-z*PJeloeXn%;lNlO7yptbh*JaK9N^zY0B|#b04(6j|~t zvc>ZGq``oO_gZ>M;(B1(9Tptxw0f=+1a~*S?vfc^aeuT)8!-Qw&EcjBKK1)LR(USS z<$|qAEwu9*l)FH>j%F}}I{hawSzV+FToX7@wgL|rFyXVq7qvgEw*T|7rnb>Y_jAs* z5Om=Qi>TT6SGF_WcK7V{lRfA41GmdA8f?`#K{i&uORK}BZ1;1QBjv9qSMymF0#tDU zl0nY%pU|JcI*dj>nEDwCzL*Ae5MaYG&&ODIsPXuL8!9;hh>>OglRs^NbX6+T>7TQ*7*@EXP zmKJ!G(?YnOegJckB3HOs>i2&9nyIY}t>GS-g$`RaNuUoH0m<&RV%UB&PM<=6$wQ%o z`T@0-4f&U&M}eFl+~>spCD~E`ApFEa)s5s`EpziCNMwq==RQ1%V!7)y@`V!+QRTd^ zT~2M+6O1Q2iw67*YAVWZkxEl|)kc2h)+GtD<(inl_Keo6Yry)sIam znZI#Wt~C<22>=h5*1lS+(g>MB~c6Ke9>-ekiIkq?l(-7Bp{$Tk!OYL$9$Rx zqhOo_(k&Q6B!-JJD${Cm=Y)&i-)lIbX12qZLn0v5Bcy$;yi8L9NdAEP8WEvY^Bllg z3d!uH{q>2b@-+tAxHL`b*b z41uYY+H272a1*KK7eETE%Uos@2G|L_b8Z(UL1RZ~*x|OaA)Sk!hF0ItaooH}lFNyA zj5dc)q<$|k7-jKRc=E*;VC%K4wzDhEbWB`R z^u(kd-I~m(UI-G8O_}QqE&&53vWJgD><#ECs%pAY7NZ1i%R$7ZV9c*4$osg>&%UBpYc^iWZG7jcm>oLW zSOyOB@F47m1TdNBusg9FYU7l$0@`H-q_K|+ok)Lv zJVD=?<2-YNBm!d5)@kpnTo?HB^S>M{-EaA2C-+~$z%tNv<^0{SBuTcq7$dY^(~c=T z(2Gi%`TLl|C*#f=1I7^do2^bm&nzJsC;#GWit8wXy-ljbMN4WwviQXQG)I>Keo|h= z1Ca>S%~RJxM|c#_Fcd@z*r;KyJ$P&+bY{r(32TL%diw}=f!0w5dy7>Ws&eW6n%gST zT_w$>`yFOM+praup`c5$zC{b~H>XK0j@Vg?51O~i%vDvewi%YX) z7Q)f3zi;Xx&N!iQt6(1a`J$lcNAd>R@9Fib;>XuE8O~0PwjYIiAO|{u=VVxr??*wc z;~jg;011%P+mZJ`%^b3jvGXr3>iTH4=IDEdB7T}+h7Wqhs;;8H+o;Z`-p;xy+4_|s zz;h|H?`~Gr|5uKbBP4fI zOBGv-f_ zbH~=J7JqAsf+D7+ahd!PKe3qFIiERUHj?A2${&3hNoF5uGFq z8e0dMw^(RYkyCzKuXPJryjV@{RZBZGs2>N^@TM-CJFU2!7Vj^`u!fH;rf4%2P zyn(47do@wOk)sf(iU+XQAi>4_CAet7h=;)8nXZhY3!C+izobaYcePt0CU;beSeGm%lm&tm zz#set(4}RjUCvyDx<5E6CyJpOHEA`u4B&48ki`UqI!(>ZCa>*<&K}-#Gglh?QmjL&N?7~gV`wPqRzaVy;n;yW#sZzH}o|;S@ z5`G;n9wr+0>bny1Ti6fozl~=4dK5q%_K&nq7yl=CjIp)hgaB*z*l+nrP_@w4qju%B z%!z0GAULs0l>hKlx#YjV`Ia{Rq6;F~=) z1jrfUh8h=~|5&`Bvd3#XRfIq#rH3MK4#Bg4vnO~5D(VKkXBs)Qb5Rlao=;2D#nb8( zHG-5-6L1N8drHUbz<9Q){#VgN@ms}^ibn3g88sA9(QK~Eh#c}|&H91Qpt}#?|3jG0 ze;N02ZbSh0DD4Q`P@!~A**S5I3ws*=G}rF6zlJF=}b ze{is>%OSvhUAUQecTrl#@CS9R4)+!L0In3)4#%gXp(eDcb7kt=S=8baYSe>qOH@ z&0{Z_&Rz6Ub~ky~6a{1OAkrfqgR1_K(wl{{Q>YVYKi* z6Z@CfyI~kHwlfwPdS;!=I0Mm+_R)J=-V6wUrmHobJ%#UCd=u#u`9a!h^SzWjvk-TE z_s5#ahXc8^zH&HRt{nv1*r1%utbcXNFYi;b*zSl1pCQ0DEPsF=DFHoVgf&wP2SOd_ z5zqgnS0niKzwv6@e;a2QQ-L=2pPu$*X<}6%372ZwDrRgnPN?5iBv&}6&+Ge{kXUvX z#6r)wnVkJ>_)LRJdBd-%A|+t^Ji7kYH;|M6QAWo#RYkn}ift;}&f=RQPCNq`VI6Lr zn*$<}%73N|<&IOz-s6@-%1ina;eS~u>uLhIAtJb=dH;+q(9KkLoD(ySjq`pM2m*U* zpb^lF{0c?CTM5^9VXT>QIDS7d$&Y_c_IBe8@G2^*{4-dE+T%BU* z|fB)7>y{}LIn)V(J7lnMHT;?wByWM0x?m&MEnTvwpHQT&5-;O~3+XITu#4}m0{-&; zt2SdJ@3oHFH1=nkL&WteYd5C0+?QR&zyL(;R^GRb5p6S>i@ZC-qX;YWt?!{aPb?&FGyLg!jOcKzN$GZ zZyxw>l=6$nKQ%8tj=Uq*?r$<&+1t@SFmPRq>=0fsH$d{X=*K~x1Q3}FJ8#4r;I@S4 zGQi;@a&vv;GrXSqWIbvBLJcRth`f|AvB5cV1FfI{Kmf;nSanH-C?>=4Ly0Ym{ z)I6FIZoYPj!?1F~E7XJz>y(W18{&G@C1w^oD84fcES!bK^?B^Y%GWka?p4jrFse2Y?s}|$#rYAm4ODRW1!(J@Y+IfYo3MeIspMxe7$2C}K*`Liga%3fe z0DWj}3cv1J*!V5cz;)q33c}ytorlQvBvFyiV`Aj&UTDPF$tc!ih<`1>j@B~k1rP&x zt>Sxz4V2Fby2zGuzsae}Z$1MP%7IZI1zWUmzB$E6)`dU^1>uSxZ0vZ5l;GY{Cxlwc zt9PlWe}WN4{zd8=V`ggf6KMSnz*o$9rkMfiq^AKILLwfLK{xPDHO(z+nM+ADy@a*J zR3-|FfF%~8{34X-5eK$!G&59Vytb-8xar$nC9y)hon$w+*qqXi6ViFh7G8p7%#=M4 zT9tpLk;PyYwhCM_mU4Tt?jJTXlgKfl{vsaphCK+cx#>)eaY@T6{mKIY;ZN6cefqkhS9!Cysrrl>Sj#NF zkS_5$#xG}$pKc%Gx zj)NBUMz*SZd<*_+}f z9aS)U#LUd`lF&JFA|%Xav$2;dF{fcS;8eai!hHTXitf0G}O5alt5>vVot;o zqF8|iEvEZZRpM#nmutNA@x-R8_){d}HZy$Me8Xq1wUN3Cf{XFPz><&y6Il_q)b34! zbN0aPtE;Wvr%t+K!{T-tk6wP05!+td(au6H+pwW#6{WQ3LX?wD#4n8jWF9|BluU#e zEqaJ=Ac3?S6jpPWbBII~zHuA!0s;aObZj`(7SMu-L_bYt&hJG;;2&PU$ah5uXH6EA zn%Z}GZ@2_)@Sb^7uS11|5Cd?GPn({C3D5n*h(&`{$6eXGTn8hnQ}YLp%OmTx8ZMu5 z^;yes$;jR~9~b@Mur*%D=5J|E2D29f9tW|jsjOCq@on1s+|4l`HtetbFOPg?Z)(yo zZnS5ntGU<*kr`!f{0JP;o;zhYE-9b)UqZa3Z~B2h?iu@)b!{{@aj~)}!edYuiKGjH zF~FgUTjK4%4}{VD&wO?ruOQKl*__--L@?+ai~8ax6Q zP7HgHcg*X2625wHM_bwY)l%&ATpLhI!YiGIRRlmgD8Kbj&pt=I;qG|_qb6&d*Ax;`f>Jt~aJG@!K|jhbT4{a~?)V&v zB}ReuTQPObKyzC-Qd?9efVaL8K0eql_y?D_z^7z^2Hy!)#pVvC4NU^JPc}_hFYb z4blliQG<&MY=lg;$%5^eJy)(;JC_?eQx z8{2)4;D{nZKk)AhA7tXkG4@0ALsRsKh2wOr4HIswRu7?hjfr)L-SiJJ#VXP!7rrTv zbX&{{D>VG5ED(XmVcU>-tgL&ru;rj)iU%sq?9Mo$OShS!n~M*d33A>U<~F{;zf|Wk zYc;Q`E2yar2iD#g*Eax&>hrDC-hhlD=gA*|T|QT1i}DO1juy76cWMpf_37DC8t5WY zDIwVq=p+y?V3i$KHox_ZnSs%0jY)yC26;~!x3gx_^^}B~u?00og4o47If(Wne%NzJ zO~q(-R;O(ti@3sM*^@6%Bq}9ddX)Pr+|dwiI3dg5UG^%{3)uJD7w<=s`)OhWn%w7b zUZst;!(`sLDO?Qo_F)#WX<2aJUo2)HulEn@)1G>fPv;|KhoaKjUE;6(+TIS=y%?Wa zn;}UWetNcAe44v((x_jq^e@(F*T|jcF3W!U)elp!#wS`B_qBdiYxfiWBH{C%$G(TM z$Gis?^Ke>i?Iz-S2#;I4`-;@+W_KSgR$(RaRt2u=kEwqkytFVOb093|sAa!aGH6{cc11^pLyNFuR>_vBt4R0I+^HaBwtM zC)F$ERKL2wazAylA`yUfx_5H^-c&$EcXzU;sf}qpgE7J}LUw)r@yWO=^$@D@k$zi2 zxQPBY@)M(**-SL@3uYRY%N2%ausk)1n>|L$25KwtV$Pjttyn8fw<>;l$Iyzi-YFpc z`Zp$RR4e^?%6&?Fglo`H6>!Ec)kQ@Z_7`Or`!#dv**L{JU0qi*D=TH3{ueZx+$J%0 z$At6c)P0(lm-g1ny=3e67krvnOT6QwaAuCwu*$Qt%2C6eQiS+#&*SZnH{P!jFNr&S zVS~n7?rE#bGd1^%D`_=Qe9sXo?IaiY22n8^wy-3m>J1o>KYxAn49ay;_RQ44pxA*P z9!gjgy4$)4XRzyd34Ek9DjQv~#$&eM8y{qckVUc0v$=C#9XH}EJPb%o%Vc-9@fyfn- zR*csM#g&!6MnqH+#Z5mRDsp*|1wE3Pu{HTUUtYXT<4J=;ld4r-Ttf8%2O~>fQ?+NK z&a9EAxZ5;XW#MeJjF|dJ=<~EULH!5RVhZDY+dg61 zgq;G5>j$e*C~mGq$OI4Dr-q6ncKOwtm8x<&ha7G zXq3%4Uil>X&Q{^vHz89#tob$1+;6mljaOK2mrmX!1tl!3tsp@s&xCwgK9p+6PyVdX z<1m(!fF`x10I9)fTSRd7R+NEx?_$~Wr{CyYu9s+DCU7s-n>Z;pcZWLxvZ$0CLJUDO=pX784zhOyLUQKNPs>QI?L@UTu zh!^dC_H%w*#KUI4vdP*-Z(jgvPwrNhEzcZ@N==l{DZRd~pSRdLm>0`6&mL4@+3)|t zPyRm2!bQ7kqjVNv6@3|cxh#Cx1P-pUZ!69;4Eh-@7Rb4QV*t4`7`=FW2D2^Ely$kDuxL?i}Sh9CeN(*?wa(V8Gzs zQVhHJn=iu`wDGjry;`zOcOGj?uUW&_AJ5EZtTb1_El(NSre9_o!W$1h86w1TtM{1> ziB=ZSLUOA5#0PZ^4=d9WnsjT?@O7xrY4gDuWwGwCyY&@{{4f?^n`=19s5Nmg0)J!d1DFSK2u z!X&h6m{T(H(+x(p(!W2R$Lw>xdU%ANYkjxWe8YV6@~Zw>|H`^U`<_?#Yua+hfqCSB zXnf>D+H<{?K5}-Mv+;^dA#l~rVvP^t+{o|b&R>x*ygrNF{-9?{XCo~qWakxz%;hj-Gd{oyK>B%+aGoOG1@sQTB%H*>jKAZ@) zg`bqvNyx~?$dgA1CHQ`>s0OVn8dIl$Pryl`N8E;TF6QilVke(krYM|0YmPmW*i9DOf;F1?26hw`=zIeR`x~uMP zDSUcQ^c^6i&Zo60ZX|OhdiNDBU(9|ib85X~ULC)#hOVHoMi*l1@M_Y0DToR@Yn4S& z5h!8-MLB=bLXP_s*Gf&pr1nH}iex`{rkPwC{r2 z>h@Aof2Q`1NAKq6#GdUUM~M1dLYmawGe7syH%;@nux_XiR!cCdE}yr0GfStVRmZ-z z-1OGs>heXdm1e0?1&*~IH_J`_G7bWAPaNHCJX?Fq{1HnX%}8@4$VEhFgLVbAFFSa%p1}aY-OZn&H3dtx2Ga!=uLF?S~pw0JJI!J z6|Sk5a?TW-l=Re|Tk@dN+wDM1iu2CZxfW^7M)q-!@Njpj!?O8xD>5=F-m?wyhsj5d z{Jy{;z%Fj(>Fb&Xxf_e!+r;m{lbU#i;^ijoMZ|n0<|H zr`@d;vsP9NwWZiDGc!X|s;XPGzg%o0&-^BBfez>X<=?u8_gGZkUYurqK<`dfw85)l zF_O3Y5k~VhJosH*HuXoe(8`!*7Fl&a`Eb^pyV3h@)@Q6A3En!>?wGZmMZ8u`y2A{ z5jO!jReOk8<<@Y{BIj}4%jYg9UfI=}Y! zTe{}eh3boG2R(AlQww)*PSsyfu+Tq)@@zWVtT0qCUsIxS+hy`!cC8l74Qc-U-q|K; zhQ$cEvqPG^Ur4U~Yg*bDtls%Qme2i#6X2y+Y|1|O5V9z-tk7=nQfL<0cbJ>Jo-^F( zXI>Z6=vl%I+R5nqBW9`e^-|5ADE4fdYfjz*eQHkbm?*1Z!w#D-75x->k56_ZF^^$DUw|4LTjhiO6$ydogt_5TKWqz z&X~HSm%hm#tb3&4u%L;#e79w+`P(P@-PH>^N&}zHXlcpi-RO#$=4H0-?woX=&|A+_ zo^I|j&`6ruwYMcA$$g{OtOoVCB=LFzybKhHhK$y7x7SZ$eh!xL>Y^K`B^yKB~1qlny@7U*;GMss)f zO!@o#ewWmxJGNVyRLTCDUZNF>R#fSFK`Wwem7LhXZ;YV2(x53hpC?}ZZ2GmeS16z6O^qU3d*j~TfuXQ(dXk1h=k4+?Wa_vW4sJ3Uc;=KJX9QpH8)#M6 z^;%@Iqi;q2<*>x>-dpN*=saD2{j2zea8yLAXCSl3(Il1M7-ZquHs^Td*YEPyEwb<2 z-|EHx*23_HFoxSY~Tv`?NnA}M?K=KenvL6oHp zq6mT^IQFRqf_$ohC~-Nt60w{ulnJDwK)D2mXvWAc zlq#Cx(R4heC@B8ip==zzx39e>E;|wm6BI;=ibKBWQ+E+?l57H;FS)#OVRf=KOa3(d zLTk~3IF9b20miYt=}+1+Z_F^=-`?Q&;=1RPwv*chzdt-av{%hPK5Lat)`_^Vv^4{F zG~(m^?sqXTz9;u~daLQ0c)VfZQqI9eD;o`7q?YVmbtgZO_C5VJ=3n&cXYwNYD)eXE*~*mouvw)lFH=EgoMNXd(?=eGC9i^eBBqg)|UUFPeDm41X!6n6+Tf#63Z0FmPo{&_^$V4la_1Dq=oGG6aMvp<akbQ~*aJ9KP0NEAG!4yE`2i-?g5=c{(T>oDRDe;#HYkt&-umZ9Fjnzm- zB2P36iTu$nV8BPiKp>)QMBXxYNLeC=lnZnss4R(s2!t_HA)}w18=Z>92m7DI2ROtB zm|x`&64@b8D7F+3d#SrhCfRk98zffv`>TFnAl#NO_E{wq8*ktljCD6MTG0kGumEy2 z*s4vr94;4ZxO5n%BQT8NprwHpWCI;h1(ml#AROhRu~a-5;8pSiJ{lO0VIM9q9;1Q_ z&;bifC0Y(>DL|HTN}x9zKv=J0n;cNJ5Q39{ zqz?y#p(quFwm~Wy9j76{L&O1zKhQv*u+;<_brOwAr_d(yAsB{&FdsLTg3uTsF2~Wp zil`IE0zM45ejFc=M+NCSjt1r>QfVBG#z5&HxyRAyI7$b9QR8TU4+l=1Kx5D*(omd^ zO`d~+P1G6ifo*ZTJmA+!I@59bB>MqA6ol+JUFZzjB)b3_1|oF=ABIlUnT~^ynaGDD zll8+9@TxFD9?qC#Q#uX?f8vu%`QSxBN+dE*6bklnoa`i#fD}}2pd_-jc$)-LW(e4r zt=W(}WXeEbCX3EO5en53W>IjQ#$eK@7!$_mTsDj{%t`;7g~)ZbM8NVD3jAb>0Eo<{ xvbZSB<^lt7mxS;m?3!94G1NaU8g$zX2nxp)CLa literal 0 HcmV?d00001