From cc12680316fde9009d7d1dbed365a9f539b7e95b Mon Sep 17 00:00:00 2001 From: Sung Park Date: Sat, 23 Sep 2017 23:46:59 -0400 Subject: [PATCH 1/2] Add hw2 --- adder.t.v | 22 +++++++++++++++++++++- adder.v | 25 +++++++++++++++++++++++++ adder_test.png | Bin 0 -> 38183 bytes adder_waveform.png | Bin 0 -> 52951 bytes decoder.t.v | 6 ++++-- decoder.v | 19 +++++++++++++++++++ decoder_test.png | Bin 0 -> 46781 bytes decoder_waveform.png | Bin 0 -> 58060 bytes multiplexer.t.v | 21 +++++++++++++++++++++ multiplexer.v | 31 ++++++++++++++++++++++++++++++- multiplexer_test.png | Bin 0 -> 36210 bytes multiplexer_waveform.png | Bin 0 -> 54391 bytes writeup.md | 21 +++++++++++++++++++++ 13 files changed, 141 insertions(+), 4 deletions(-) create mode 100644 adder_test.png create mode 100644 adder_waveform.png create mode 100644 decoder_test.png create mode 100644 decoder_waveform.png create mode 100644 multiplexer_test.png create mode 100644 multiplexer_waveform.png create mode 100644 writeup.md diff --git a/adder.t.v b/adder.t.v index 76109ed..746d141 100644 --- a/adder.t.v +++ b/adder.t.v @@ -6,9 +6,29 @@ module testFullAdder(); reg a, b, carryin; wire sum, carryout; - behavioralFullAdder adder (sum, carryout, a, b, carryin); + //behavioralFullAdder adder (sum, carryout, a, b, carryin); + structuralFullAdder adder (sum, carryout, a, b, carryin); initial begin + $dumpfile("adder.vcd"); + $dumpvars(0,testFullAdder); // Your test code here + $display("a b carryin | sum carryout | expected Output"); + a=0;b=0;carryin=0; #1000 + $display("%b %b %b | %b %b | 0 0", a, b, carryin, sum, carryout); + a=0;b=1;carryin=0; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=1;b=0;carryin=0; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=1;b=1;carryin=0; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=0;b=0;carryin=1; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=0;b=1;carryin=1; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=1;b=0;carryin=1; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=1;b=1;carryin=1; #1000 + $display("%b %b %b | %b %b | 1 1", a, b, carryin, sum, carryout); end endmodule diff --git a/adder.v b/adder.v index d21f7e4..1d29263 100644 --- a/adder.v +++ b/adder.v @@ -1,4 +1,8 @@ // Adder circuit +`define AND and #50 +`define OR or #50 +`define NOT not #50 +`define XOR xor #50 module behavioralFullAdder ( @@ -21,4 +25,25 @@ module structuralFullAdder input carryin ); // Your adder code here + wire axorb; + wire nCarryIn; + wire notaxorb; + wire sumWire0; + wire sumWire1; + + `XOR abxorgate(axorb, a, b); + `AND andgate0(sumWire0, axorb, nCarryIn); + `NOT invCarryIn(nCarryIn, carryin); + `NOT invaxorb(notaxorb, axorb); + `AND andgate1(sumWire1, carryin, notaxorb); + `OR orgate0(sum, sumWire0, sumWire1); + + wire aandb; + wire aorb; + wire carryOutWire; + + `AND abandgate(aandb, a, b); + `OR orgate1(aorb, a, b); + `AND andgate2(carryOutWire, carryin, aorb); + `OR orgate2(carryout, aandb, carryOutWire); endmodule diff --git a/adder_test.png b/adder_test.png new file mode 100644 index 0000000000000000000000000000000000000000..aeef3be4f38cb7ff0508798375cbacf3dfe7160a GIT binary patch literal 38183 zcmZ6xW3*^7lP$W9vu)e9ZQHhO+veG}ZQHhO+r~Rz-`CxD-1#S?l9l|ZmCC59IV0p{ z#bEwm{s900fRPXvRs;Y5+5!LoY=Hp#HzQ4}F!pZ%aT1hJhWH0>2;=a7Hiol^y0em< zsk57bqX~eSt(}btjgyh1iHWU~xt;SZa4#XD!8nVd8C|@?|>`7lk zA1wz_B!~oMUO^y7=^_^oPh-yeS9#iE`r`R{y38u;`!?+bf@)> z3itEX?Bf#frqcI|)^5d(XJ}^Q;pMzO@)u^F?p$GXDh!wwhIZuxXKb^Y63&go=em8J8*8Uq`a`17NV-3Qxs+nmmt(77|j!lB9GVhGD$c!L@Ad=$XmF3Pu z3zF|snfaw^v;IF@ub`v{`Qbr~CmSwOs4Zf|nMG~zr;2j2*_-N+cox6jvKa+b%#>up z(}zi>*0d!hE8to;*GsDT@ulN(c+Td#?lJ*nmiM2X&XYs_8784;u2hlS`TjWOMwR>BnKGXPQby`9~gk|WX^UIGuu+d>( zYp)ak`Ec3}Y*G>$8fp~JE0`p*I_?K72;ob8i?fGsdz&$(CcuKY3IZq`F|bM=dp`YT zP*X6_fA-X3J|$#~APhTt^-@4CR$MD31Ro;2Ae?$Kc)-Ba=ar{G|3}Sw{VGPd`U0PX zDf4EVF`jj*SRLhePGETUw70O_mb09ej-X0t)|xDIann$D5OKbV9T!7dVaJR&Ek)X9 zNFWu^=WLfI=Im=$0}IvfRG?Md#3fmu-oJ=_d~9quGyO4)kB^$TVo=r-uMIBo&{JTw@ zE;jOd5_!uCA5qb!1H+YPt~@MwR5wUwm`<7?-loBI-xf(Ih3)zVQsT#Qh}LSd2*2%! zSpDqq1Dr}ffME8c!zYi8ST~g7!bBaR&o0W1Ex61q4FxZZ9Vs0tN4R__fL8RYOWW%Hve;(0L^A_CPOd47wuc9Hqj5=D?c?J>D z+SdKY!k3P-ceq@S@Gpx)LtK0Muk>_ab*1ror~mtxNphgiwuCHeqsfLFKHcDH#(rm3VvNKY>BM@4N*xEgBIM z6O@7oC<+d9Ol&cd%Y>R*BGN2%03r%wvMTl+K26`zt0N;LC#6OjSLuoiU(53i)Peo* zZgJ-UWSD)-8@l5|RO4TV{@yidO3{n?_{3tg)RP6Q=!OSFLh}a>(1Yg7u{D?Ci9-V5 zFlHms8og;WnI-Be%f_O;%M($}3eytg@cH&n2G|@w0AQ~Z!*sx!;fV(>)Hys+bf(p# zNd@}snz#<%dL@M!r19lditD!*WbK~eK>c`a#}BLartT4thz^S~GW401dhId5$mG9g zsx|4$VF)}r{BEKzuMCf!lv%N%qp_K|f%&pqZwt&|5G>yBaad^>%&!{T%xbEOh-DR_ z2!5F(972uvT!{hAD20}zrYSRD!EU{q(w3pQZ+6sYtkf8bck~9;FZ#dwa(E{o^B`+V&- z(O86!ZO;e4dlOFxLt-lDuF6Vz_=^GOl7$tFf^krI`zZ>vUH z29s%vx!FLlkXF;OFENn8Uv+YR?N$K5IIVo8P&gO_qzHa;QEp|atvY^qiATz!QuldK zghfY16`h1G0dZ%=MCwB~u84985z*~v`|yhQE%dO5fx| zKR&-uRdC?**}Phds?615LXKP-96l@;@%ilE;PmCASl*hb!;YSiVlY|2aHe%Y@&m2A zse~X#+|ONM_Z-Jx?3G}xWFZe3_P}}~5~2?$1k2k_8OVPtArb{@wc(mo|16VeMG!48 zZAxtI7gClX)l`crXF1Q_BZ^rl5M`vx>Zbz*0%GVm-E$$DL2}&4B8F38X$~GlKrRhJ*24^_aHN*Vrymv4md|)w z(j9OB?7*2ibMD(3dm>?h7}x=Hn{;`XYT6IhN!O>BWY&%{RfkBF&QK#>J{^U}R=)oC zMAaNRaE*dc`cwOqWH!EXCqkG$CBw~Ud0jA%w~yLsuAM2x!{hzv@=p57M#lQvNbY# zgqk<)tFFL2WZXvI*EgJDtz)rvyxag_dwyYJS1~o=KfQ?&D0!AEj$^~1Kl}F?&X>A^ z)@wmQh2_z)i=c{8n(5~#qVjT)k}fVuak0%t2IKJ=>8Ibvxf%L+!uyGJGx%g;d3i(< z+ll&24rgRQJ8d1Ib?zrvTo*mwe6EBEzU+Q}sr}0Q;CWKMeyD51Q6`-G@u3R4q7nrR zN1A;<3pQ^al!pbaFurO>#;vK%2$MB6__L%KgOGLlF9%&0JJMpcJgx)%e&8j$H$QK- zVm5@orp8cUE#E;*?aIEKTz=6?-d!72+tXamk-G?gq3NV!kNdUC$t{GEkq3tBRxXF3 zx}N9Bdwjs#jmXz!teJ*9Q9R#C8>xxs+xxqE5vkOz{*jB^Lk*!|Q}pp}*CZ4k?P&S| zk?}{k#k#irDQL7@wdqdO?7fFKdMP$v3dOoCzUc7^i#H$LNZ}ZY; zF%h=Ig6h=iy&)P=ELU|z?d+G6#RG(ebkC&*De%(F0iIfyWb;C*)^1l!53y+PF13BvnvQqiWt6&y=_&w;?_Sw+7C~nhBdfbPaDi&s=g!5 zt3J|yj}P0#boYj=*Pd7S+8#Im2zJz>=zS-P{WabEW_tapbCYQ#+A}@cEpb>j#|ut* z7?Sxl%mj59-;Pc(G%T+Qtu7AX9Vb-n%24!75@QYGq?+2v6F5bHo>Yw&H(PrH()D&F z%19pc*9J(co|Fu?{YgIMO(j=x@Nwzl@2 zR8I+MBipm3J@1gsuQD1LNV7y%idopCbDCW9-RdS73)>9(&mdFy`EPRdn8C>44+@M9 z8|K=B`<8h|Yz@}6Y(~l9bH_##sJxEOHZfrJhNWCZ1kGZR3P7ekfPM z*rmeJXykuVaG#^lc2k7|3IOOhDgLd)Ju2ozm4hd$)`>E?K(C>0 z$f9g!X%?mlX&M0L_}=K9n_dX7^cBV7jqdT_HI{Zp!sd;t$P+#_sfvli@!&(}}8DgBDLF9*BS{UWQiQfhmzMZ!qNF})rrwvOx=qdpUxh)iw! zUZzo}DC96xL}kgZtF~y&0Lxd{ObQRE528B(1Gt0cO2$p}uL;=4=|lJy=`*}ejq0PB zXLp`|YBKeyj#%0)%h(4{S!PjA2BXi+G~FeBsjsU_VWDhN&X9euB=v6qF_y!`D39P@ ztDq*Z)<|Bys9x#j)0KKhn|+udh3X<|d&>$adgh3gf|ChZbIkGZ#9he)rf?2ia6unr z>{~j3ab}^3sK_AibX8GQR25>z7G}<8%v01=h!T=JT9pPD*J1Z=Lz`2-f40P?EzX~z z$--M^`RsvF11D5oEkn+6n1D&rjx!$@4X!Cp`8f1=t z2vZ~nS_eKmo@dzFMo)&3?;j{e31*hV>H(Y6GJjq6Y9<-**|5Qfzy3au2g zVi!Gnb{XOHlINYH-IDzXe#%7O0Y!vpT~{jy&oJ$|sp=?zBvbk8{nh0GmBB;XSEfL8 z@pJ3U`R^((#Tc`h-d@Mp{Cob_uJil2C2g5Ci=+;lg>yATG4Y-%mEwQWd{IjneK2(!`--XCmDoq=!?JKYq<3-TTR@yJmsyJ@!pj z*9#@D_ON_`-oS+;Wm8DYmm9EeSBZ^dH;AzU*5VOn|B}GL51PB>2}M_{b`SFn6}L^F z(FGZ%^!C%M(Q8P+MV=@1!teRUE3(#07lHAi!(KNxZEeH%2LOmlL*7#VE!1^wwWEdj z`9K^1`GHh-iiW6zKyl*qm3t`e7&CD-&O{^F&{K(~+qbHFL+hd_)5As)N(LQjd}Dfm zFec)Y%J}6rR%{7_fg8BVh8oVl5h3wk8dsSN5y)?&O}5O4Wvr>8J4nS9q|M%hWmmN$ z&^iMq)CJ>848Mvn{ILxpfR7kK0I{UAMk<{(SK!nfz`yOgZ(k*&#lJ1?X7plhH>2tW z|L|HE29b8@aT{gGY2pb12@BGXkB)}W0b9ZkFeT&tV}#+Mk)B+gHXgV!FD*H4C=Z91 z%u*L_m|XAOIg?C{k-8f|ZDp<34s}>2P3%T#i}^_&pIadR@@+=V(@jT|)qb@)+&?OX zedYE*@?~SW+o6yHBHI-^lAp+L&>;wVj$WNyJ}!xn;=gNA?_q)wO%0+4raNE2*+{pY z4X@LcoGl6HvjFHq)EK3VT)gisE>&Gb3O-gA(WXSl3;`i`?BO zvUsAu{4-W6qQu@?IuK9vGT2@eULS@A0RMLH#A-<@E2mW%P3&F$ml2W$VoIc=IHIfp zd^tIz2V`|B^Z@{x_dUbaatS&gku`M`A)DgpxbzUY)9D1pto}MQwfuZbb=&LRR04pY zEqU~pDQlGWEJ`bQF3az6paH&z8Ly;08}8?sxBi3~m5Y7#W+iG^7ubJyfAH%lB!7Mm_mn+6!ba$GFvV8(Xx&5Nw&WuB^3Hb+{*WF)~ zhFuAedY)b1#(!?X#-L{ZS zuFEIa+lX+HNJ7rUqNVi|;)IP2P4AwMgy4&c3f637kN|!9U}A~t4IoYJYUA0Lf4Mo7 z!))Ql5czfvkBgGHC{c{H1}dsx(&%T*JPU9<0tsM0GJjkla3Ve-^I@(@y8ii45?y&j zfxp#Vx;95M9qq*1Pc@yoZqi8qDfVbQ>dr5NgsKUZ31YCRt~w%!GfTOhV^00v%OTjn zUjyp=o-R`+p45;)Ex-YU1J%pX-$Bcbg9B`!NG0PJu}O~0i~P0^nS*!(Q0yIyykxqb zHOUMy0%H~)(WGTT#FMgG5(0(n&=3j@h!n_^oLyt=$T?xQNk#>*tR27@YRpbm&Tk1@ z-&$lOBmy_aS03j-+l(XjS9xw4NS0#TT8M$BiMv#(Jo~k^UV4s4%Vnn6(qaX$RYQ>& z�i(N@Ofbg-ymNdb_Xed=o+G-UG<7m@46Xb&0EZpMM5v;2F2la)4sd$$x+C&o(S_|2=&-nV)l1he^tz5Dw7Lvshl zwp*cW0u1S5v#_QnPEc|S!5X;#;U}qV4bG?y=%y-M-bVq{Kv>5J-@9PT=)Vcxh9SZ1 znQ4zu=tyk$z8in_=JSHY(&ET6(Hvu}PLWt?ZUOfKscRyq+1c5=j0iHRS5L(3V zN)X|a8OxknHhU>%MJFP3*?;TRoeCMn^GAmzyoKzdNkUGQeUGbk9At@Wprs`UYrR`% zdUfo_ICa=4j;pVujIC&c9932fmC^Ty071QXNq|jk)Zv6G2)~zVGLYh@)0vb7=qdt9 zxu3_fyML&(yT1V6Wjvh3D?=nkF3n7AeCZOMq&Ft`?!mL|VK=9h;OLJ-6+WE)F5A2xqBG z*ZZ@Vzq`jgw{m~ofv$Rt*s}}j{z;DyO3%jBDJ~SRBP{43s_hP$^w>x48JBtc72j+S zbj{*mX=#~ou@UxPS?4#S1Z#E5X)-%Dqf-!&QUOp%B4|p45R8JlQZ#~$xA{m}b%}hG zQ3V6_B7i^OX@uUV*Ddn6PS&8g(*@@1|y1manFP&Lg>Q*st8| z$<@t_*^OGQ=kUq})hXvT(wNmu*7L?qlU39uTfsj*pNYkCr;B!z=zi9;rCZ)48jqD{ zOH>MHJEK|C%M^uUMr?RKs?w2Swuf(i+A>ax*k#Pvq^dMffGAQ`2a9k~>E5<(h)`T0 z>CZQYTe#tx(|j7{Girb+Q;H`ws~q<6(z}m%M}HTM$Q=>jbUq-8Q~IZd2}a^x6Z|G{ zx;&+!2b)*_zy^xAC|qJfilSUj>MH|2vES0xEZd#b;9Y!QIuBHH`?ZCh-OIo3XzSDF zRx2eKPHvWY1+}%(q3O^=(;1lZeS^qdV-8;ba);y~(e5?9w>})_Epj+Glgjf?cO6UD zMjK9eIXB;N0hWeA?C}pK9DpEFp$$t^Ne7cM7%IvERGiEdK;wYlnAYORTq^QI{2 z3zzAPT{gxZl>{xGc`U$i`z6MjPLLB?`+bAmyt}f=riN;A=H5z`+AEUb_r%_^f~{B3 zlCc|5`{ud!Q@f^vHRKtF_y*R_2}4n}1j*t01_Z!m&;D2xwqMarww;WV=)Y?&HKe;a zGSF16yVQeH#ZqXx6utu7|J|)8FeMygIGNh&KR0ugNLy#lY(_vDyc~XlJ4yRSdZu#W z2+YLgshTP2IH$RB_r@)mU48QZ5B2p4uxM!%yxGvV@BAR2E?7;WuR)rEI|{M75@(tz zdSJ&BYm&Z{0rX3q%C-rc-iVG03g_~V^5qBP>lG9(dp>*_St$6_z{HJ z-h>7eh4T(P*OW@acP#64=x&H`Dum*Q9u%^cQ^-?I`&$mTkj|~HjNcKZ?jAd|OGiRT z3dk3#rSKvN&~STqOV5QZB{D23nciO|1Rckuij7#)KnjUx$rr-gRu67QG6RmPQ9UCt zWcA_zI*XSVWloXO%FgsTw%B_K(AqLF!?=T6FN?T8|=s|62<{d4%JG1DBf&WrFAQ{ES!FsZ%y?CArwL>aBBg$-2cd zC;$Svm>5y!5Uq~PQ{GD+S=2#IoZ4D51H^#9gUGJ{vf!h85SVHIY|AW_CsiS=T;b<9 z@1Vy+W4=#var?UL(S8-s3MPajC0kgC}$ zw{(X9m25~De~K1P1*xaJC{|U1j5GECB#Va%Kkrl4Ts$3{5tS(JSy7s<_pf_t{Q^^B zLHMtNb?t+R_{)1xF(ED%9F)c2$a))(OazoA<1u>uKk;rx%s`B!2uz{ll?fr0y`Ck zYo{ZEt9LEr_+=AH!x>&n>Oa}4aNqU?C5ac21fgwQlt{+FS3KQ&$mmeCyaIB9F=VQi zAbc?EwM1h0=%MiPf$)SIo7LpC>(8pqcZ#`dj(L=x{%3RD9oIoHoAham)G4F&00=A& zhd*y2tU(%(I%a-kwKAvh)UN++FPw~xuZ>}7a2j8V!#cp`yQ?iI?XbX_Eg8K0i`C^H3~+x;_!!0*6#LFq?76^kL}>ArOSw94r`ndEz8XYES>ei3f3$?GR>5xm`3 z75ATaeJ1g$z^%brhx$glpnGQ|Y)AfcSn_X6D3G!4`C+olKjJ}B5WzQx#;iwJ6YY(| zRCCa$AdYFJc)9c)*YSs}m-Lq4ah8s0f7}h-$+325cz8a00IH_w7E($4^}9b%mLzv( zG)Fu&NOaGo`BiZkOf)qctN#W!>*idB_W0q?$PAN@Ym%4P_obY4&7ed+B5VH zgq^Vkf=MPk;v{nfIB= znF8Sg-SJw_$aYwlfNfsnHdKqj&&JOeu7#*j`RQ=9-vbWmmJ6;gxWB*S9*(s8KU3Qe zopxU2!{a}WYDMRCuZ35j%^PiUOS?M?avnzpgcQpyJa-!|jP^UgA?3bjG^**2w&n4G z(T4x}=D3ewb3fD@jBk)V9)2kHemPcwesXQ1C(IexIg+GszD@eTI?hUWa9q@m) z;=#FL+X7-Qz?3MQEqk(uMP__}BJRS#*Uz_IS^rW`M$t=0NA>sjYRH6aB`-3Dfbeh8F}qw;Nb%OsBl|=i^@Rn? zJ}r8LNJx%8qR+EX_>>5)wOf*(c1^C71xWa@D`FVSL{Hdyk`E@yC7mES;y{04gY*$i zo26R}$MjbddK(doYs7imiBPCq$80Gv@Cu(=g(TX)rZ{vORw&%NA|nG@a=~0q7Z|A#i#)TdXharwt2L?M%_h>ydL{a-1gK z7OFRtS59rXm>Ffot7oIUg&4?9IB4>0}xIgf<%$V9$U4;b59(a z(;o@1amOB|r_r?PkubELsmr!4(@Gsa-4hjJM=i9eb;rtL4GE>R42V?OKW=K6;g2#p zClfwpx;>e0tSn=;dV-%~1@B|m{r4+5z?t$+UJ(b#jBO-Vj_l`|h5LQNdH|qG|NQCY zBa#2g6Rfs6Q?&PJci(O1nP4hkkpHC8%4CoH+at4FN^H% zukXq*07;mF+V<4nRBYK@>WH@)5^u+U{KV6QC!xgmP}Y2w)1-$qr!k+Wv&<+BuqVZ$ zT7;7v5BO^Mj7D7a;3=uYyfYepi2$$-qNDwq!VsTyoqtAAZ6|Ch*D6qk}1 z?^5fGMIThABK1NUS&Ll9#B=-FfX=5A7{YyoV;q$xGIyRHjEmzflX*t{s!)tGp6I|~4YQ@j;FG@9JQ>_yI^ybR zGL^yzQHJ?0CcrXK!?4aOK=wUjW$>+K;%}XiJd*g2s)c+Hf2nnV>^XK&+q`b=W~oyc zJpV+>*aam3>ata}u-J;}XMOl|^xa`5=@BP}S5#o@T>n_6F|m%>J&YIVCP0mCbaq!D&(!jbOBWM^- z;O<{*Hc#Y5fVps5&$#T&OS>vzKzwQDG;4HE7%r+lhcl5Z4|6hXzIw<^lH7;a@3^BO z1;68Io9W{^h^hB@6j8DfnHx>M+zqyPBDj~j&qg|mSirzsgV*lq#nqEW=M9ms<8Y|9 zTcq@Ix!$qn!*7?xzT__<_UkSOaXp@yrp4Lgz;VBW2EOfKEh$73o6THT!V;#J`c{v- z$QkO+Lq%GScT-+SxucF+y}c^laaa5jhL41Qs~3VRJANpsvK(=}yNr0hfMJYPPNSv` zSbwG7&sr+qH;0fo%pOd>%zXG}e2*Dq$)#ln;~m+r-dEetl|yc8dXpz-+p^(QFPYPd z=5x3|#ZO%kbo+%4Nu5@9efdrPF@MZ|k-~7sBL+#G&Koz)cY!BeTkxDzU3FnooT=R}Rg;Q!7F`Y$Ewjl8I;K>St_7LqUQAN2Xn_KxRXR1s@>c{%xl-6oH zh5I2AT!u+^fJNqDB&CSKdX7nZnTA%d=F|pANPx7p23J_NlH|;>m(!QxCPH9ZXoGK( zh#$Zps_2l$aR>oOxqPMHDrX`dwzF7E%$f?dMHggWvdghu6Zzi`A((Eg${=G=qge}x zI9p?e+B_^={6cnN8qHglXI=^wE47mO;7zB+`G8|z{xvrMQ-LRL!|N=p3WY*a?$$hB z8@-fi^!Pf9_sbH~L|5)t7ySh3iYi!=y+3KSPOSOU?WuyoQtFO(9=Vr>1>W6ttMde0v|&5Vyy2om3-d%`*h-Epb%JfJpH zj&;u%t+f-0WAP!rUe$)Hg#v;{F3OECq%OAF1ur~QlHarYOBN6m;{13E8`oknT745u zc{svW7!a^~lPfkUWJj@weWeQ^IU0O=QGc(h31^5e!NM%Y8omvRu@qKEU_=0urlbj# zCjAJ`%2HC8v?9<0(nu$Liiw#$%=Bb%^QB?!=wGHBl~wjc$G)zioIb z^IthKt7YYmxS3`50pqC|07;$L^}F4zYP1wo*YtP8jP)5<1)9Fhf z!zbJuL&;mg&!J6P#ZX5x`AFyF;d@}BulyeUIJ6WebicjY3&+5H(H$fHQ3!{tT}>*) zjBrFxLS}^h8=o5Cd;ykxd1a1G-I9tr(R_QBH*=lm8X+rXYcP)V_KuggJ#V7f^{RHghv1#_!&UJ+??VWE!fYi0j3gJDgeZ+X7Xi>(Ftg;_>RN zYw;U<@bk+|BTa2W(fzxkzO|cAGRbC<_)}KMM#-EJvi&79E{`k{jUbkmgFS z0(2Fs?jq)Qq@~%i@W5?8l*$-_ zu#MimlIM+bj4C{@eS%=AnR+o-rB8R1{s__2=3%>Y9nNer5v>nIgD?OV->P?A5U$A0 z=FJAry9@biM%9nzHG+et?g+%)Fm7D@);+z`L9i^=RgKA_cDwUM>3Rn4obpZh;kJio z{(SM4@@Rh{1&y7|uq0L3>FtlsF=4w|y6JwZ(ao?=Om6&shSQ99q6)wxke_xh&YnKQ zz|eJ=Hf3GpVuSp2H4AHPYQ+-^OE<;#_RYhKxy^Xrg3^ws&lsWYPIeuT&)_PxeK+?m zoz_!Rg9z87#BC&;rY^px7G3|>1rP+GZ#`G8^j9;uJ7lN2Y1cYfKVA zBj&8R#ygk6ix8_G^7VzZb5voP-@dn967PXIx*{dK)o_{1Y%qf$fqZwU|7@b>LOo z@uUn|c&Sm38H0w6L3@LXSHRBUO7ecA{G=R>E8B!K56l$@IX}T+X7CYVy8C= z28$(lU~%pCX&+{`Ft?gOy&luqy9j3u#b`M-nI=nMj3;dho4;D7i#sAJxqNk@urpfY z2~q0j+oQ4HRcH`_wdWr1Box2W&UAEjf%Q;W+1|u6(?_hYB4d)uPHpbF%PGzMvHCG?Zm^HAj}|$+O=kL=%jlgrMg+B7K(2%H&`hG5XCH`b9^D7ei~{`k{29j ztK8O)zy%%&ED9v0Do-)!Ep|CMarSOMozIR!Sj`O<6C@M2GgpmQb8G{pQvK)9vI6ss zDURld$yU)f%4P9~`&0fDwU*{t{T>3-cA=}fe5oV5<-ZCzNQ}OU6^)lRfgKja_0>1_ zbHgb4bVytT6RYIe*%&09iU!Z}AmA4@bf(2w-%b&44*=9*xM9f4_>GTxOajKcYvqo= zfwlMuj>)}=b%U_kn9~BbElH4g;#WI{`A@fR#g#h8mYaX}kHN5M6GWqFD)EitGY5BB z={x&!%(h!XKOq(iu5^&B+JtTS@}!L(H%uG;S|ne)CS=e!%%M?0*Pz&gEA#SEtOS?< z(F{bvL^}x|Md-$6TNGpf;WEuU6&5Os$h3T$&mWoxe2pryyH`|@UDY@y zBoZ)rdj1ir0Lf}*=wa8`w(>0+8hib^x{bd(CTJ%m|GG<8;p4x=8bP7colT&yw|6Ee-Rf<}sRU*g6esYR!WYKxFo#XZ zrT?-(?ww7UHag!nVh_4`Lz~w`yKzQ?&wDz&4aaEWQ1gq+E_gONUu~rVRpXXR%}_iL zq`XlM*pB=C`}eXSjU^Lev_ENIIQyMvd9p>x>p)K;fuOCPcwV7(Fc-G)+VE6rHu2dn zHJ;&%@uRjo66KK!(WM@anOHjjmc59+xREVS?bi6TDpHHdn}aA3?8M^VM@o3(CHkGT2D__SiE?&K9y+(?O;?cij$X4wbts|7u1DG<0D~6-TKH4$*-`*uF zw8uA|S%;`M@?GT5wcmqF4o(vexL+p?!{wxcIFIWvsrS*rS^L6Ai5|N9d8-NKjsj5c__A?vRlrnN1@OUugmGegoxo%GixvhIfICs30!FH25Fa99kA|H>J z`kCvtmNG3gCF`82`qrG;4Kr2v2RDLRSJGR#+T5DOmh6?ye+`Y_Mz>Q3h2=}&Q9d)) zP#gh-E&D;9)dk1Orl}^Y9mncSwM$J)&5U#lk814+-)h?9ZX44mR0Ttg5*;Zgiq|D% zz+q4mBv51jfOIEcKWN(Yb7)*+?3~xj<4H7n?$b|hr! zMmE=8D))NohGFT&Fd!m>2MRMT>4X-={rX2{ZnKl~;Ngw>4(7i;B2T<@EjhHd=sf z+z>Wioq-o zP^%Fsw#7sXiR9%R9gP^Pah6)wq@`HCrCuM|gj>osm#k)gqs?=JB>~cd!fQWz-sJwm z-d0QLk8cc1<5?Ro5BWWOwU$mWvXfPmc4AJ^dbjMM~_5*`4izDmZD_uLtD5 zf55%qX3Shg;@x{zvlWrq8neTIg|jVm%HDRjR*0+BA6cX0SnZv zb!1{uE)1*}C*dpfo_1{4iDE(K9rHi_uyDqh|3mT##X9>H9Z2<^tp4|~nnf7`t1TE$ zS7JZ^WcDG`nL%yncLc-xu~$oD{hRg2F0)FFOjw1OOQu6;c`Qw(k5dZ(2k)uWs@I9H zVHBm#dPC#{9cuqF8GfL%#eSM@S9_)$UL|{J?v=d1$=e=&T9(9#xDwq-+3LpI6@Fg~ zwNcU45TRfqF?3Uhgwlcz&E7gkP~3?~0O3!lB8*mI=_NJPK2~x(>yo80Kx|SykIoP5 zM8B6+SppVY@Z}+;7xpT?5_wD~oWAz&NN|SzH01BD7x(j$_RAXW=cXV8p38G-G6X>| zydZ=^i1vJih~R%2;ZjMVn|bgX>(W<2zDrfQ>p|_J5-`Jh3P2FnDe*DAUN?$gw=f4iLv>ESzA*TVdk?*C*O2%J{lib{@M5U}F*k9uNn2^Dx*)mZMZ{zddTn%>AGei&a{Zle2j^wLS z6;?(cDcB9C17qF2ly4!MF4Z=(Ab z>g41z24%&*auf%9^9bMQmBbY~hJNwAQy%P=XE80zakhbfgU6o^O~sr`SfeN{l5%hD|gmf#5x9D=*MySux)yAvc>u)*Df zySuwXaCg_iT`tMq+57Bs|8wqr_}}KCXS%y;^{Q3X^?gGS5%+S$YjR-MDt&#@(ok}Y zvwM{zzo#Uh2I7Zs5~(P*gv3SkO)DIobY7Nk0t!|i13HWd;)Bl6u2*{DgoXyG;+PSL%nVjqXyP%-SFXdYLVPO&is&0~yv^3*4DD43?JSZr@bG7YCV`qSL6!)`EnZ z1|)OozFB8pOE;_Nl@QB;KokqfbxzESG$b(5#ooBERuVsC<}E=oigD)dM`<4 z=eav~ZKV#X^TXC;Bhh3PEa0>P7d~fz36mnsgvIFx%8$I8lakRZ1!zB=FnAWD=ZuXI zJjFnX(J(jP8WA=j0&pxzyRi z)_q5qnha-xSI=KwSi9$q6r0IonrYow*q3@wH(?URP5F@c?lLe66i#l1!eEw6xeINM z+PgG7`F&YQ#++iMlbPR&PIp=VfRC|-g;v3LRTu_W)(9i4Ft7mMuK`aPzP|P$b5||F zlQpxn&n-{;XV&z%>rhZKZm+?qE@bXG7EyTKJ~$`LUkU#)e|nrp#AV))M-l(!kWWdP zw5PN&a(4bLs!1zBsFF`9c@$|Ga^(|-vX)r2KU`KA%go%ZlLo1v)aP=f?ZD6ITspWU zr3sI61?xFxdw@=DtT~#GbNvDlHDK-^ZW-wt(5JfPq>vkPjUT_vxRxOT86v#QaaB<) zhHV>~VZ2`SQ`$dtz@W)q_q=B>vZCJb8@tNu#s9lt*;+D)D{VvnE`tGhQWoOYj zHUIS@^NSP{JlDwhB$Ojcz>kt9zAab?n8l&d9`Q78sF}1kmzp}g)RUu0o`MEjygwp7 z-*XvvmS=rK?m8;9M{Y-wOc&Iq*&LPkn6M@(vnF|=3MTRKb2JV4K(bN`x0s$(l{Dpk zj(_lgCM$ii>C&m5Z85^)ZbdQ^Fm?Z6qsPY7XEshUGS_idwufOt-idhA`f}TzD%YK zXiy0LJMM48{4GM#T<77V`}pklW`l+WbsR*hZE^;=Cr z+u7(H&Ng$KeoD6-wO`Jg`6Mxy$g>KBw42>zCxsZU$h!5|WdU3QyEluVKj$M>MRV_r zA}IIUvft2^QarAswV>Tj_;9ZR`QCN7%8T&@fZNS_kkgjHCRmW&kxD@YBRvN5OoLSU z7y-d1ZOemrss{HNV8!tfDR&_3^`*hV!R?EKzAZoz4oHbT!U#Cg$u(;CpqXTk%X|9W zHEbvV%?L;^F#}Um*kC+iM;N^D6Qt_M46P5%S?qY(OYF=7eGv=LcN&S%$KbXa<91iH z;p^wcs#bdKVUfLgJIcCi1Z&k@Q~UA@kqk^w%@R${#Xd`7fRKPWTx13C;ydb+jvhYN z-v!c~EPfK`GULhi0STEponUkbcgv3{=J?zx5>^SX9pGS_`rVbArJ^V!mz}kBo_A(N zyzVT1Xb8Da)N0;pWs7BC;pnq{m2YU6l-SbBKz|FHyTBWLSp4ZjGVk7kFNW-iTGx-` zB+nHQSK^BK`@*wc$?uD;Gba@gg#vvorPF6EKvfRMYm5mX%;g&$3v3Ooo$r{llEV79(-k%( z8lA%FsEBVMnER$NN#-ACvf%*LFbZvM0xwTeZ%4dwzvh3S9zU;j0*k>W;=UpoE$0Y$?Uu3;If4)5}xltB)F0uo+-F zPM>00_Bda-UW(*RtXl5+_R=ftLkU5jdt0zhwpQR;&>mLtQI_2_-r;5(LDhXU$~|^LgkY%L zK`OuIC(bbpzb?)5psA~ysKk=l)7eY~#~f71XJSfV??cb$?&wUbF~%lPl*>bkV8o3% z(M&mVoeH=+Mqry_)_LlpZybEK&6|%cM!2(}sav+rcvgXKnwclH;n}D5_i%?qeTz)- z48{12B~hmZVYY0tR>$eJWFfSy(0?9Dh{VQIL3W$Sm}0f#`0R4Mls;Cf07@Aj_#@XR zG64YbQC{(F8MAkns8C~MT>71S(fU#Ac#@uv4N)wr}y`2j* zL;Z+|1GN8BB7|A35=dYG)f9Ak{)R8!UoBm6&381)gCdj1 zy3(fZ&O;p@2#=1GYpE?PmNOb$pXUagO$?sW!&*|mnvOGjv$K(_P)0CjMZ|QWU>54I z+Y2{KT!-BiKLazlwG!$5WS@Z1rYl*rz0vNXFRLh+3kiM=eGaAO$)lOht9Vb{iOPgf zxxJk4>p+N^qatl9%smn@-7MciR+-6o9V9apqw$Z-(Etq6+NVyn^w7~eT2ou7hjH;| zC1h6P_vi9HF+MEw9ROG3dirs{oI!70xhEec<=O4ES?i%QhR^jc+@fXBG~$G*J#_7- z&t3EyZ!LCAxqx8>I1?1R6F)M0NQ(ks%XO}yiJDjS87$RAgl51dKq+|O1i@c19?i4v zO5I=mWL<9CA#@}K+w;+HUd5r~i&cBsm2dTdEFz${YLk0a{x zgMZo_k<3IuQi*9 zAxt+`_ktigp3@)6Ov208@W5>8nf^ef&%n~+mnwytBVB4p+~9hun6cpZ z-c}!7wv@uG{n#ElE)&{Tc84H;WbnYi?5djnXhK?7LE9 zkgxA7KaB)^_97Y0$e&y5b@@m_!G@w%wP+KgIWZ#!MMXFAO4wY?Zvt6Qc`;pkP+Z^d zD8XK^q^1Ob()cmqGE8_Ffw4dZzd@$Vrew?ld3<*$6Fx~MbKXaO5?n)jB_x?ATa0|X zN9XB&<5g;EXINpuzM`veROf)|z|AkT+E-rZX0zG_?V3GyXfamwO}dB#Y?b93RY%|* z%kz))1LNS6-c#1ihCE|>vw-}R(OSgdptp6(o^Q;%q`D(|Cmhj?%lOP)-Bqg8bF3d` z1QM1l>irSEr%=_e_YZV8akj<+Nz7I@H z!jkmUw#LIG(URvYJqe?$pN1=XjrS(4x8YZ1Rnee(UicVr+1RhvY;+~M}Cv!#GOQ!TBuaT{MSJ*{c;g2&iZ zc%aP~Ye41=tXQ2A$?wtC=8KqSA(d)EP()dy6Wk(E5~Q9_`(&New};6&Q~(W{7NmuS44bt8cb!rdcC6=x}bqwo7Su~JmNSdm(Y?BEu+K0i=e03WRKHcl3cD^LVhjj zX|Dypk9^7N%kL#z$#u5V25e+EYVV*iJN`!gavN+OJkFb`A}V;l&vdt zn9wTD1q6DaREl%OD^ocz`WMCQ-Q@LWkL#AslI9YSB_2vjuOLg!}U`!pw`P@!; zpY$_Nhl^Sc7)>|oSzE@NXmqVKr)Iy{5xcv~W-slOmPSpdA2` z9cR0B-60IKKyZxr6zbE%Yrs2XL*vXMBF`(BgwbL)RFzM{iWR94GWj3e&xp;#4>XRF zM)@Lqyek`Mg15{1EQ)huM(*sEdzUZz5H^K(=RZ;S!@(6#f9{vaL!ktFHjSzcN^*V- zIh%x>Bs+R!uZ`(UU)349ppJ+$>`Sak?Fz{&f3EN;c0E|doJ;pwu|yu0w9ZOGV>zQw zOiXKSIq6PIk|53KUXrMh0VR0`TeiVh^1fW9s$WnaE)c|5x!9A>-cIq_$&bM#eP~y6 zp?|atO1ZV9pKr4yVy6vy^c5yid{A#|w6GJL#`<-1K>96_-W zZIa(#0dwF3KmUAEhhka#f?6N3MTk>g08+>twnnYxtktQVs=rH;dEBsD3j&~c0^p-) zt<*BD#v;iDbL;w4R+b`kauR_Y$M~jRqzG`EX7@((!k}i!MilUQzqwe) zYsJ#y8;4_W1D5}2O--cYhL|K;IfO(Lw0P04PvUY z|Bw6ZuiBoptFYDS$zpzyQfD32RjBWS9lqq*_@~MXuGwrpc35N&h(8OctPv>!p z-NJ5~v|7Jy^5k4^q;|;1twm%32^kma4IseG1K1w*x0xv6Ff5YJLS{Va6}ZdKW7f9= z7|^DlkIIUOXI~#%h7}BOyG*{4#&dccwtlE0QQ$LmxzTrkCewLhhCFqtX&p+_hr&&T zw3XK(19~~$j_syDku1vVT;l7axvbecXdvU~Vj=ab&#xTi!M|ylEOuEMja()JfcR%X2z@Q|<-`dU%9t8>j?A0K z+-=`+;2e+7jjOz=n0#zhBJWe*m5C|+fRL4eRDh{1(|%8_iBmdRDK^JYo1hQL78NZ&D05H+gyO>r7`Sb@FB%QreFs1i-o7h! z<-B=~x;Ha~a*j@6_Q6`VwMZ?->xMgh~^rK%e=40>rB8&QzY4nfcG0nLF^V+RrOLaIqJ8_wn z(J2TU)TEZ6=<)9R%XaS>#$7EDu6z})FNchkCloo|?og4w=R!{Em-{Sj3myg8M~CsF9M-W|!V%YX1{L1Pe}DgVTGOEqsgfmJBfTl{ur{_Wmv2Dj!z>O&-Fskh-I z6(vPv#@z5+x z(zw|Tks0s6`3nLl2PPfk{7+%UL@>-4=|K7h=F+IVAh*|A7^nKfFgmYnkzL;9pkoO+ z^hPnHd7%yIr1)GDm_dvq79-|7_<`9CJr}Gf)D~3(isueENme>nJ(Mdz5HtZO!60m=*FTh6MVVkp3Q9x_Oh62|w zA8Kn{K^fxhL7&_tDxWV=B~j67O3l+|bL;DiBFvQ9-|i~;<%*GXF8S!L)PnEbs%~+ICD5}s zbTLYW!;^&@>;3oR1XGUdW4+>3;P&yV!*Z75t4&bY-9OAA(R8B=JP5{xs<|fhCAL##$|8~ z^hW#!#sRNqBK*d}NI&h+iT&KuNGhFXcGTW#sTbi3JPr~;G)>M~14n2LS~YGWmib9e zz#AAxpnlNnymyN#wd?91pWX4_pzIigZq3Yc;c;ybC)`|2?%aj$DV0o=24Hk9*u6Yx zSKb?_t~4y(T`!JzrzIAeS$6n!8+f`@y*~eC2*B!5u3dG#aGI_4_bkEL=6-Pcx%ysC zobyh1@!Mwwx;CQLq0?(03k|q<5p=tBRY1A2bGpthDnoJA_8qZd2Y~)r}?c)nJ~I_o2ptjD#7*-nni! zblmibz#XSdi%9{B3jEvCEuaTWsn6jv&CJ@&Y<&4+KhW^*XrpPm3iSuqR`~9tApl(C zdC8_OzzTB+Vd<;SOVDnh$7}*37n*>j^w6vcNY=yM+2NdR^W6Z1)8iv24hKU(_=KLT zj+rqZ@+CX-Qo%)y8#WZKA3Te@|6*d5uitK(j_Jbt;NhCR+qsL-CljhZby&T9T=+Ui z+O3MaY0+VBgYVn?(ib*MfhL;wv|hVC*L$tEMoJ2g>n2=`ZnZniQ1!2w`qZB3;qI^P@S~t^3*CxPh_r zITWY@%HA{i(P=GzX75_+r+DIrBg6Hst~rN%RC@tS^r_)BKggktB(vH~%T(XtheZjy z=etj1SJFFSyf2+Jwp^Pl^~peY53xpd3^xt;8}GC8(!+1RKvV^tyF%-?B7JmFSzEq+py({YceE!*6(MkxpDV ze0LVzS9$G1Gjh*8oFA8l^n*tvP~C(F52tj9wXW4km##=TZOy0cCfn!bWo~~oy_wy$ zLEhNeWzm(y4}ojLqN@ZMQ|A~3am^%NgG|&wY=lP21V%2>kR-(K{X4frep?s3?AnJJW49i(=!$ z^?QexJ1w`m%Jazus0Hr3G7_Usv%vPCFBY0riJHWz?QpfR_%TXRBhQrT^N3C$HO@>A zOeMRgyQHD1Gb!kwmdxwRIv$o)>ZtWk%0^rBAn5gdig4$vaeezaLtJe1Fj`#oG)c;V(@gCTZN5Nl=6Nl3y-;!oL0P<9A@DMn?L>NM_K}-2 z>vatb0Mm8{8Z7U0*7?$ov3S~gFtiJ-LMT()>9XDqzpD zYW19VRbHKD_3E_dBib*n`PLv!I2b)gH-N0SZAT?i>Aag9`5BQv?$>n|rF-`;nhrin z5vF>dHu|Z9IG6Ie*jQ;4j#^3ToK;@PyhfXz`G7^F<*;@8UVG^=qbV3!)>KPkBt-BT z@|2_3#^d`Isgs6M!u1`=xh0n)rrCzH!@`0iwaZCuCQW3v9d6r*wDOo$?Udf-I-%1M z;)bb)5=7m3^Sjk&^5g=|q%;vGUIq9PKW6h94<^aiuF~yEmm3jor=FgR>8AAa<6aoC z!3M0TT(f#3GShrGWSHPZd9l)-hvkKGMB3~M2hHC3A}_TS?3p8_{l!+s4@S%wR@C~I z4v4T}J{omfv)pYURf3z=AjwcB;71<1nAXeBjQS9)^ISXW9`=_Lz;6Yt>UW-bgU474 zGRw`en9Kmhjh%)}VXY}34;J8Tzl^xqWLJ1hQ)M?Rmh0H)7LhZ6aV{c6X7B)1Pay67Caxx*fv(^q%lUK)A$JRgScRYRr-4!HZCGGFX|cE!7Sa~Amp5R1b6#WZj^z~#Ew^h%aPrB?Rsc44FY?TiJ=)t1n5tWux(F#L*7&@ zc?vrQduj5U;Uc?RX~^uFpK<(DKI;b`j)dpa-e%#T4Yh-&SFtYx8myo@y;JPKYPy$1 zW*1XZ;KH_N`iLipZ<)2+9rGTnOHeE}xv3vJkIPy{g|(|Ux(L--JSKbyIg(Bh%|%qA z{pELQwbO*2@14JKH-`qUxPF{0uy(QR6EwvMX*m>!%oK9T1 z@xdqb!^oA+6`v9ETy~A|Fh-!|Ohyb2J6fuQe_*Y;y}I~9V@~(t*%*_9A+2LI9`z#_ zb&S!ajXm76OFcbQcO?XPYTcFm7=bR(L>s-2agI@z&brH)pZRpkBscA|#QCIsq+TEL zb&XA6_oY9+h_3YDZj<94_F@I6XK~D(#@2}_7k&nqgH*r zJ%#fqth3o(?`uM=d^*#opUACG`+{=IHpFDeX8|2Pb~h12t#UgeqLsuP-WO_rw{L=Q zEcB8Ld4RpPoQcst`QvG+-XOKC;}^#Xs&=Jz2Ko{s+~4bSoQrz#jd*8$lP_3QiQn&l zG)e)_E?pG8_YQO+UDwS>2$j^n&>Lg*h};^0v=(E%UkyY{;Y_MP1g@XKV|T*K*u$R< z9kSmUNq&-Q={*YiE)X|*@Bi@Pj=yj1F`O2n)kA5pdm(>UJOFdz?v8u2IC*C{0~c2| zTAVYHCH8J??OtcP zHSB0`>Y?BUy?J$7zSzrxMtXaAt-{p${PmWJ*tvLZnq7;*IMhXQ)_eXI2&wjd?b5dGy61bMxcf?$Lnq`=d+LNnuo# z8EYmCdxZ=?{ukE(=K!yf{s!N>#@+As7Xhid4xaalC*d+AY`63{Fm3_<#^~om*h4|; zy8-Ns4!bI5R2bM8?TCGOXM6Znu1}_*G7?f7LY_mXYbnk~6$hEPw_~0F;hiu2+$HF) zZDl(po?~avaAPm6OKi+kihmv>oXl!i6OGaEK1IoAW{$4-Rqe4pW|*yL(AX~}eLP{h zw#6x_o&CAd^CvSOX})tbA9^|m#;0J!1w5Kx+=NQFMPU1SI$6i!t+N(Xy|%T~m>VY9 z=Fs^uWEf6A?c(n$xrtKid*HGhf_zKja&vI%bQAmNGHm*Fu1{l+b&tUo#OG zENw6GTs2c!el3NoA4DhAOghj6(tQIl zC@HBu7aw|md#QhcUnuF?%x`)`XXTSHb=2&15dP0?t%tyXsNf%b z+>RGkg9DoZv~TPbgZy*(f+)pudisY6i+hs>2q95orJsxIdd5Sx933})+KulP4%B~Z`-$?mdl|JWWi9S943Z58FRz>vE-qTKa$TY;5w z}%!<4PUrWil!p&SGt%wR(Fw~vs7m{NzG)D6Rhsauoc|8NkE#xv0`yYqcJ zj|x!#WrS}~3WB-6dk$H*pz3}NeFYV|)gPq*G8tskde|w{Ph+*!tkv+vM-_Vm%DuZ%WuRDNuD6;9vKl*lc{+67UIHTw0EU?bhc#;C%2Y>y556)Ii>u5v6ng<#-(RURJKe?e_O;vI(OE? zk6b%FnPpKQ=hGvx2NenKy5cAM}Qm^fiTdh@Rx zzB!c>b4BJCytiPhjL;elE9NxFHZ2oUS%y;{UMXnVpSJuMGHW0sH_E%u{BsZS8(&=C z|1^yYJg7HmGhF6YWLn9`g$$;dZ;b+X+mUggJ~jB|E_CEPll2q+Esj{>S_EZnTd{wB zDF}MyxURx+*z(ep?wg?KcFRfuu{Fl)80w$D`K_=MWQ`?J2s=|ixh^AiE6Q^?zzix+ z3TzIrEn^pZ^Zl~+Y)|m~7eMmeU_eGgk1fQR$In-0{9;<3tP2-oPp(prv>m-Sia1FA z^=JEPw(Q2W;+iX>eeAV#jV53=uE8ds=81zw)Or(;=ku|_6dv(|Oi=->`PjHde4mHP&@iyUyDT{Quv zf0*VL@Y?ey-E`hN#r_vn@m~}Cq9TNUQk%TkPY=GTVoW>#-!LYC{SDpxV1}iir@&Z! z0doOT>00G-tLV=u;JW;^|3;=vEji%zCH@W`Zn)Zp1XW$N@zdO+TPH@(B(ccC%32CI zn!gtk3$*C61Xu{au!e}tox-H@$JjB65gml7^{Y>^*?6o7V7FN_9e#y8U2>k>N7@k; zXxZ3%mE2i4+9Q9OEQWDONsqX>J1do5mBWF~9C{FKqz#;(xH^Z%3QyjM+E}x*ubJgi zQ4a5czS0`@X18n)S(sT%31|8CPu^b1Dn=nQ0#2=59xw&;}SzYD+~vCF#bAJ@2r0Y@!~fs>?1loNw|L6 zHojA)EvT1jWN^;-NrjW96BN0jKwgQ6=oHGcVI0nAzb}GmUs$BeSiH@Jds&o5rF0D@ z2Q2DbG20&wKyR&nhf#~J&OxQsG;!jzh!v2_#zdquU1!}B+ z`bEJ1g!6xl)Lk~~@jjM;Ri8P7Zi&%T7LoHXb<0=)v|j8QWp|Z+iY{jA3POzF)Y}A8NPd|n`jT)T&7$~MBv1Uo#xUJLK^Q%Sbl{6SU+AbEqO+X236%#Y?61pz2 zz1r>AW5RAZC`SB^{g9X|g`T6-g=DQ|q6!yD2*Pc+JZa4T2HkCJ?bpEdx|OcqTOrz| z(lbMEr06f<$CP)$;v2x{R41(FwJiSN7<4{_PYLU~Q>=|sLNF+k}Z}TZokh2S-LXQ5+K@i>w}nsBea?*)qbBvey+`dfcLQq z9HH`^Twz_<-vN~QY%pLku_D!SpGa+GF)5lnW^OXd{F=;)IhiE>Vja zy58b`p(~aV^6br@o}l{e3F`0v3B$~w2gs1}j%<=iuPTA_+k3mHD#En8EaRy1vV2UO zQTl&l+>AvRha&j3rr)Gn1zTr5nMr#k_7{pz2U(Z?kO{X?rmyWKznGRs@h`y{%U}8z zxU>HPv|?ny;;SG&vk*__e`p`X4oUmH^i|u^bta)7XZ!vhv5~`Yi&{!AEYVHLcUdmz zvSloWUCH*%hBs>^*3&Kpo^BVt1Dq^bi|wQ_$Nlu*e*1rjg?etFKpBh5KVW{! zLHlK&0ekr%3*|SA-BCe8Wsmm}j&-Cx@MPr?=Nt@hTT(Do-TOr*wZh69(Im=PWd0!; z(uN&!##}Co!nJ;z0;mf@<3EcV!!c2%$cHi2i!K{a(#A1i_qp5&>=Uyw_#QGOh-6pM zr+2fu9E~%7?;yT@ZQ1j07&{Qo#$E+)7J1Zg{pmGWx8hH?>6BN5@|B%cTxwK!cY;P^6}xJ82zMKHU5>Z0>dZKh(pC&?Grr#(#qQ z6~h>L?vRT^@!xj%#mGl)U8@SIQqe}Vh92;GUsJX$f{dT{5($pT&yV;W*?KCRk~)GJ z`QNpzJrqp3(eBkKV5Wt>2W1TCsjzc`!cUemY8uJXo^j@In?^fb{v*hF^fd%5=4ra> zAEf@a`6{k^)Gw*~PS)pN;Yv#Q2gnuR8ajVxP5-Kj(XD zxUBKQSDBL?J3w7dn)V%_E{d<{gln^2QUnPsTmnXM#aJk&G2igPTmM)&X&SJh@TcTJE3q?${) z*nWGy`P-Xz)af+1ak%Sl;*X4sK9a(pCWaa!YXU9j0z&L?)Z){{L&)!2SiPU&=lbyb$B)AyJ9S#p6I(bNdZ*Q?uW?2kXouZ zSiev4%GOs^P^MZyLl~DNL|qdeiH(FHT5SH`g)Z8cx2GaBP}rX^nm=f|Jom#J_yos`i)a zO0JI+qgoh>Vs@gl86_W>AgE9sLaoBXnD+JlPby=g2wNCMO9e;s_YSlK zUK6Z2M%(uP0NK4Rn;9v3l?tPG>nI-Fj%w5pEpfq{WPSfGdG8HTsEfk?3KZ<4j7waS zfxT1YzqMv-*Heq+A2I>~JNkbmO$&f-gZYbOGc1%b;nDE-ZZG*-2StnmEvbvVQU;bs z9%Tnvo5`_%rXtKPvVVvit=DUlf7iVl|5^8{3ViAPSwOIBCjUcu{SW%VnBl)7xb6Go zuQV+n{?9Z`aL}z#?^^0uvdk)4rJ2Y#$_|2Fs3woU+L!YzNI+6jXEM_N($31@7#CmC zow4)4iQwPXU~PATmz!&w18tYwd59o}y+2Qu32UYwmM)CUZnm*%ubZsh@a74p7^arpB+hq5Veok zAIAIM{Hl#p(H7_9tiErzl)NWR2I%~qq3LnLJ0Xky9{FG80=3$IP2FH5;(z656lH^d zBpV?=^D}dhzu>s^`A;}@Eq}{Ba7bbZA>DLGK-?lQ7U#GS9wX4zjugfzoV4WlH@$l~ zL=`nlxS#xI`G957=79+dUB2wEbP52a_Gjc-YYk+FdzD$!*#%zO2Y)|asn zx1wYiDLc=OA#dvV-xnHF`zDML#5@nJScTs26xu3+tpvqaOT1^@h{rl)Q!k^p{9lrf z%b{0w|7X&rHp;ZrdOV0B5B$p&aEX7F{{A(H{VMI$t2xa@QM)HQ`BW(D61>*Yu>Mi! z@#Qlyrmuj%m#uO$gx^6YWJK~3eE+#z6~*l4NU|n^?Ak{EU6$GnF2h})&G$kKa(9N; zz)2?iZ%>vs+eosnrxj`PgX8r=(vr}It{{dc#FB?>|9r3%t3HY*e#6Te;f63E_2I@G zB=QZiL%A3-Pq%N#e#K`16(~j%wgv@kKDQl*B(NdGj90OEpJ6t4X8A^LHx~e=w)`c( zMFf`IpKq=`$AXh1nu*J`6biR{+OY9a5)4Gh{~PXewO7AHm>LuShPaQ5RPXQs?r3xn zI|W__>3|X{$DEkN1IRG+G8P2&=;iK=O(d-O+2c|D%V?^Boy5n_&LVHuqr^pJSUEs? z9Ao`sjgg(EQIwmVV!v?8Hmho%%?P@FSW;H9m6iE04vUAt!1ED~@aD^~#A{~+JtrsU zvgXzEcr<&2=+u#nHk%4|(OKE&hoA~Ecy(L#P zI-bKjw1YN{91j8FdjRT1#V4(2<`4eK?ssReiTN!Le{)XfP9W}bjM3wJ$gz79hd7ic zchEiwfJh$5M~FB@6?^?iVy{o~Px80ff=?9^3F`1iI*Z?7Yx<-EcR%YVq& zJFJf2<1{6%bbLE9owdD=t{jY=T+1%K~;c9p<0IB{= z)dv1-`F`TJrHV3x1#oKPrQsk05;?V(cNoC>MA)JSr!l@Mj=;9(%bMmp*u1FG^uaoI zYP})fI=hiWt2O5|H-#v`w+>E;l9##eq17}an}N^do=Fj0q&S`O@BP64-J>4ew0s^cG&JHX=hSd1o z?7AJ6gKmZ6uX+-@&d^IQ4P@J3-*XuzSCz-kP>fBA13RXXmA+J@6Q7iT8*lc&4tpub z&1B(ymy_6?@cWRLH-J)9{H)_6c+*YLfYhh8+J8hp!BER5z-KDy&!|my-aY1gJP?xa zWyrbfNgyt6E&vw8tfcaCg%{$bl0$cy6va;3w?uv+!GSL*e)uD;izRcn@9A;l$x!T! zCeHi@Bs;-=QDlc{=31om-h(^DOHRGigbyIU8kbv{(Y_2MkuQE5X82v3iS`(1$FR)B zBRx;+QA&#mcwSW^iO98e3&?Hc6%*_q8=ZRVsG3;%ys(Z|_Ozw77=)6&$C{Tu`uru& zF@IaE#c6e)L2G{4P#NyY*geQyTgkwkZOAp8X+kKrZ}hdnC-+-c8|PP)HUu}W*`ejt z!jJIwDS>5!-^JbM=P+!CnblYxdOmDQNk^)2R8w*7-XqF7Yk{JCVH9u8>i!xMQVsOW z9ZIU-%R-YA4}QE1%UNiIib!ntUwwXq)0PqP;(WGh*Tvr=+#1MjX0X*9EeZ-6{*=`D z#&)JR`^Gbn^p4>SkT-9)JF9YK@^IpaUzpt6J*(BRMZY5xFHTZNtveKMt#>>jr8hB^ z>WOTx{RLtq3**}$mO~j+nuF>Nd8MzimFqttb1;_?84Wiyu2!Q-st(W<8%cL(ybpwpnM1Q*bv zcQ{3g-@cToAUpk8P^a~U-?VmMPXYo{=D7Mi})K2yroFRk|+B20T5 za&tZniVmFwF=lsAB)aJ{sUbj-Hx>8V?VToxW=?3lBnB32itJ)!8WN{5-)-KWTq<3oWrs z(s=lhL9#?fRXfL=b1Tm<@xtF|l!F+Z4ZxH+0V`@PF&hQ7I7?&@K0vc6AC_YCm{zxi zp(WRMq?~&LhRj2T$DJS(6xg8QF4{-?<@kL;5E|e~1HUTwtpGzxs<8!`?lJSAf^`2y zlr~@sHk^^x5{ZUNkbjicSDS&h~g&@5Tqbc0bT``qb|Py=FvdHua|QS z`hXyBztX&DH3@9HCsEY;vUJE7{D4}H3+|{KTQLAVcLc6x!Rg$~3VH3D10vd&X4~uo zBirld3a`0E&8wls%W#+u5sno_^ic%6I%L6{gr1@qmgue z&D`YYWAMsN?e?uYk0e%N0EnCc5w`Tu9t4>>v+QUs?fG0*frT0IsI*?EIdujtbhZ%v z^Wd1x<6Yhl87=9tg)jx-QJqI^uNcJLx(_|zVqa(l91SW>>Ew58r<&aCv0$@wKn0ULohw^J2Jv{Lphv(|5Y2xA81@ z?4Clzy&EgxIWKNnilJsrCb&Vylem%fGO)ww&QvV-fyYM=_#;?$-{``rKh+*NKAk>I zBOjhgWI{UuhKGS}XaG1GftPtv^<~LRJofyD^}~WVmw~oS-&r2W6Y?jmAAOC0Z?ekF z_8rrtxy{6V@;AT*EX1nor!Nkz#$eQG%G(8XDE*!-{_=U*`HQ>AoJM!-ZmxQU-SzN{ z_Rrg-xf-dPLE7+YMFA+s*w~5tF0yNA8!s=&G29- z$~ub~9QW1M*aF5l1DZ-vj!}Au?fykzz0~uQhtn!8?hOUv^4NOlMP?4`12ew!OWCRK+iucMwJ6u8AsDmAO~Oo! zQM6@GC_5?azL$X2s;f57_C|m5{xo+=;*{oC$JKju^*Z1JSy2BlOZW_CxjydkG33!A zIGf7N-A#F}J%v2isbFr6WUl3o2$O>aG5xWlL|REdano6dLFqjmeIJ$}7x)t*mC=3B)sF}AwENDRJyKqy z-q;kk9<)%8v&1RxOM8fPQV`b4J0mq}PvR=0tI3r+>{_NRZ)1{c#Xwqa=ZUsi2-V6* zXo|-JKCx*(l34*J%_ShMbR_o~Fwxx#!{Sjo?s0K!pIhf!0Brz1_0}Z@6-WPEZ}*cg zk3b>kaYj zX>B@3JMs{U%>#<{*32UICK^0xWHx@>8xhG-nnrAr7}xU$_peabV2V!zXm&j320g4uz6S-Z@q#yQfRmA$t6=jf_|WkF11X-J<%dfJ zG|X7tP5~X&NO$Tonx|1C01o}*!~GBzzu6fVZ6En3hVq*r2?*fPrtphgCLKeM@d=qc zC%5<^t0|qhd}unDgVJ2J7X{pG6~*kuE=`{+s@o@JRFOPXSGnNcS!P-f+OMR`Vcv?LynXiDaUSC19bMd*)`f|WrBp>q_F4PKhs><49Ni)p~|+;6>E z+{iM zOFBboeWx;ZkZf(d+s@0>5x^M0zOUI31Qmg9OM%~O=sfZ!w)M?tB2(7H+A|PWp)|Ij z6;#wE=WurgW?xZd&7sRgM*5J0j(g=4APo9Xl%bMGTkC+0kuS z>nDhp!%hs9=1J)yk%`DTYcKuFBOjZHMzQal@q!Q9tuMgsU9#}Ov?5|22MItGS8`Tt zLYOvbg{~ssMb1^q?RS$KN4PP2irF_GH!@Q>W!+3XosjkU1t7lFDUfoSE3gDWVsx#e zdsGi!i^bK_(^aR%Wg?@h(1_ezU=Pw1V*-2>1lZh9L(*StOV4i?@8{|f>4;}93Sass zuWQ+aR#&9=X=c(NhZ&kicRq~_(-=tvwO+4}@+TdAgX$S2p1;2utBl=by^oEz88)Tw zhvgW#PqxZ2*C}H+X8{CN*|jsVVb6PfCM}@Evh|{-jYwro@14k^Xse6W zAL9(^<;s}icbo;gm`w1v>Puu|4C9qkj@7kMTCK0-WjNf5Q`M zAyao3_j0`R{(RuFD%Hx~6px&o_B3reYpyccEI|oZ+l?d^fu39{>8zfZXH8b|oJOY} zhvnG_W84sswFJ%}knOGy;WM?xaP2&G!~Dka#2L;Q+6kv%q_Wwlx?h}V zM5H!ZfT8Xzdm?XuUgO7V&UM7NWsgnfPUqTAXF(nf+` zrDeg%)?}vZ)TB{h`-U`9;0T_Go2!R2c>0aKphtvDVVLXotD>hO!onYXdXCy_FEK_k zXmYT!!&AKu4%?E1A_vUpk|wU>UA=?&Vh99qp3O=n zy7_b$7@r1XBx>wx&%LT=?woZy)v~)K;BsXrcUk)0Ke$nU?Tpc)T*khn&$bWz?i1!7 z=rzP0KHJLLx()N~cwA|!qBDFtCU8Uq{1n3kZd0YaPlHbcMT-o2m z2Dw?9fSa`J*O8Ia!R~2ncwR>1;<*gsnKx(WX49N20qU!EVTgd5BKw$EU9850 zWpxLFVgdCM&N{%~>Ucv6WgKPHINGSry!yZD3|db1cby?vqG9mDZFze%F>SsPLUN|X zSj$4oS0{`Y6K19;xaGzNzUF_}-|7uZ!TY-{#OcUKO#CE=x{TCCD0}i9k04G6#VX^c zyBRPX6y#2RrwTg^0%4c%+6NIAt_I9}AU5l8K0pesEW@9>eD$Xvp1l(Os6;_yIi@Kv z?n{}etX5?3Oix#B%1VtC6ZDvhpKV9B+#i1!>S9^ZrEzpE+bVtr`${ZzR=o~PvQ^sryQ zz4rq7b#6g?%5ZJHrKq#zpjbtTv)nnI#Ir2r>^w+Z>0FG$e&QIWD|EPv!kH&na<5-d z+RMgOXk*`X^A+AlYNSk%8Kq}s)Wqf!#fJlSA5*Vkkw8-Rk=G3))2tlOfwr9iDrL9? zNzup+T8N^J{0&9}_X}sA+B zYYa1FilrzyyC8)|MVHb0-jvX3c-4O4b>b3k($M0(-Vp2{QbUgB%8&J@Sda!hQ0PvB>Aak@J9T};=`WL=j3Gy-5Gikg3-^g0L*_@{W86IWUYm37w_P!i88$3wA!ij zViMHR$gn#jYW_K_ej$lkG7PLEVwJ?U9KPAl zx-$Jf@?tRgArQ48qGW>_>|ltW_^pyoS@bw>1qbe4*uLBGnowFBwW%q6pHX&MWHJ0` zJV}p=ZAHaP!|J1`6YEF}w-6z~g@F9*Y}9HD&{C^*GZ=xj1{AP|oHHxtY1E+o4J?Q{!0UA^bcR|VeYxfuQo@oEjhU( z=pGE>qCNttImpaJ7!m3Pq~8xR1-f}vhthSV3U2V4zeP#-qMX-G*5=f@Xm18H`5VCQ zX%E8;bI|4SbD&&qEAgl*rnOTmttg{sLO1s+HkPKzgkX~+;N~k&xDf8vhK-nzAIyql zK#Ox_a;-5t%c-i+OM!NBXocM1;A1C|C%0dJT*YqMmF>JS$k zVM?9|w+a5VTp8xg4fj}Qa|8HB8asD^jW8OY09 zLlgXT{m3|PJ?9tvLq!agLPlMquFBC-XU4yQ(JO*(@*~%c(FFPDT%AS%tR*n zN48d0hFma3q3bbki!>!1S}mFE#77VnmBsDAf2zy+V9Ni3_tC&Im0wv$EEr+*U0r4* zaroG+=;Yf^D%tViAT9ZSX>#Gz5HKK&FN=k9AHiVnl&%$bVnua`e}_(u z|Alx*fX{K_-=splUcGkEX^OF-jb-agp}#>P67i2kRn%lf!kmxo68X;rvOj+dDvD}i zyBiL2Fxt_d>fYWH-2Kk65P)}r5ZgJL-jMl!Ymt3v#?1JdQ4%v*vLk=@<7Y}JNji2^ zMTG>#&@@Qw|8!G~kw9`cq@?3V2VJz7>*0uv(4GHxz9wL1TEzUGh&BhzeBIF$=b;#Y zfFLY9)U+rv#8S7cB35zPwT;+&Lka7TUuDl_rN@6qp4+s@{2E(Qgh-CocX9(0ViNt* zE`>t)qqp##9q-3>|KZGa6f)NW*VXfZn$Wt2ltCwM`uMD+p@&DpKJo}9Gf)^FK8Ave~>er>mJ4;0M{i|1=8KgtJCS;5Q|2Y zDw?h5vb}~rtm$6fy>CqZ=kRPvO?7d`;Cn6wL{VY;TN7xLh<+w5BZaMwntjhoG;Ve~ z#ythBIkx6FN>_#TvP9DHyhYmr#``!X6D#L9urzn}J^~{rOYZCpL6&-4Cxj>PoLm^J zW{oHsiv9l2uQJQOCRR~VVG9UXb@YTS8OGEKe15EdjLHqiK>0@sBoPxhZ4Ekj zU!eAdr)?qmwTj!cJT>=wAiqQRKN~xzWEi*0+ua1;e^SF681^D3CyHMuYEk$5=0NfB zTYv&r`qWl@zmvGF999I{!Yg40*SShjvP>yFXRs-rP1evr%-+*kBeP$1%>_ax)0+pn z6p0Vtuo9h#ObHw|V9~++eT>@w;bNGf9Kd>U`El^GH&{LR$hM5R5LB~+S%`0q9oYV-`-AX)_w)I$Fui9&-<o`eOBj}_FSblf z@o#?{s_d0oJ3YkA+@&N+YA|Mtlxjssc>#+yODR;EdXtd8$B(1plz`7B9S#z& zPsz}camNHgJ(#l45m^jS)VE}bk6Atcpx>wD7{EB|5^b{}1`+FIE>aO!cT(6+_)1&G zQ)Z8ZX;(&R1`z2zZ%9twr;c5#c1->cqlP+B9qqWJhW#|mNC2;J9{ydDip$nob_J1< zQto+ZE#PmoZB8?a@8O@>$|)q!!cnh3mo#)+nzp#`$XQvz?zjNPPkdF|i5=@kMkanY zRaK3*Ckj4f7#e-_!jYPBCA3r>V)CIuNDy--OdWLyZq6%98>(}Mh^v;DhexF0 zr7;+gj6xxsEdHWu2V^k6kZ*i8ag2tt5*+>0uTdmVy0;sZj_FBVj3OAf%#0sNpfga7 zD=1m`hwbWWp7%7v6-j2M?j%m#kS*I&%i)=;g?)M z?$o}p!PFfclD4dk=0k{y zoUT`~pMyQSR>FSvfMYTI$XO_-I0#RR}!Tfz0p>7Z$#s90rbI;7M1e4xBxbX-Qbnf_g}Xw zM9s!oRUP1JoUQi{!MG&3JC3RZ3%jTYTfdrBf%Z@0VES(Hvii>V=;lbk>pN2QRQS zUK!6k|C|P3wWRRAJ8uM-d8#kas&4$|dwW6#Xi4L{jUwl^sDC~BkBsI#WfR5yB47oe zC)&6+p_1t4@6)Ah@bR}r4IuB8&IH&$hauwhl(nEn2p8t5bgX!W3m7TF3`^9Z!1+aPD51< zDGXh4#>TXg?E%^xM1^)k1z2b+a ziK@(IwTKnBmn5oqO9$DsE9GO6@{_Q}B%S^?T;+?a7-kI!qCqno-Q#xITK=^Xl|PKh ztw7PT*%pzxpox#!uQ?<6nqSiL#}FN7)0OVA8c?`qxKG_)r?2#8cv1ZRJb%3!Y%;#? z<$9YC)$cAqFsbeWM=KViykeu|amF>i1b^J}Kd;|ry9}+@+mr@Era{d1PPlk% z(;2+IPHuecXmz@hAPs)$$elz4_60%}IzvLa`76jpU3p#Jo@Axa^03F%r?@?D;t~!z z8g!+8GsP%V$M+fAYQ1WGOPhVbgCpTDL#BVeB#3(}G#XO42-D7;u;E7285^z)TY*KQ zk%pAnY1Pl$Ze7y!o|AR!(;Am3BPdW+Co~@%=D!R<^D*;*cp;4dAtbFjL zpbtdNx12C;nz2jc<$&VFwApvB3maxIiQhCf6dY2rs&}%)@$2Qqx6?>@+?;c9(U40` z73&Ppt~Hv_g8gYuI^kM+M-xXY?BAAFJ&3sCc`#~0 z>i%Uon~7{J3nl_E8R2+zY~X1iq%`(?(%i;Sskpr@ocFh^1b<0MKh^T%J^5%Rc_2wJ z)wcUfXK>K$p#z78%6*CD#oOD}s6cFAH33m|ft+e|0oQr|)T6mWcA?O3Q$kO>((|?Y zrM5&xbrp#Y`+P4|Dfd+{g2!@TV{n;mX-z$>VY3Pmo#7c}_3>{-hCnw$BzZ{0R40cx zqW>%P)i)fua%=68rAiTP_O#kDl_3O!2v%ZBYue;hBt$zA!Ops?++Cq6#!(nX-%beQ1lP<4nrPNHebgAC<-{ zCE_?4P5kSIqohiI@fMke3tBv1ZMv zx-{o9;Q?8)_pwPjGdw@(;8S?a1w^Rx1DarfWG#oYG8LtF6gmkkkLdu`|E(2bH?yVaKweX zN{1_EV8fMV-e1GVBrE$aC9RW0?{B6Ijj6o(nr#1Cb-Em0GL+>NIch`IdcxCt1Y%E7 zluZ8K=?Vyf$n1_+G-!Q&aJ&NaAer!gfc5Z@(G|P|9hn)Ghi?RMBr0Fl}h^AJ6)!{I7udZOknXXzJ|D$^NC} zl=+AD+tzZn!UNt4YcCu6tP4ZA^9yCsPTG3ibHvcOB??0+qN2K2g?wQ}Mb=aJ(+cNm zT7+ec=LY~X(ze00|0@wn*LeGv5QtupI*_p8;v#k~Aaciga~QFFZcj!@iE8!__xT_M zc7(mo)x8;)1{Vbf|Cb0A33A&zjgo`4La?;Zivwj}iD?mL!V!{?!#BzRw9Py0e1=*n zHy@p5Z+fVb1oHVjh28?Pk5>o5NRYJRuY@pE!tiXCt;xU$P7l~f!NixJWafz^k`#42 zxvLBe$OWq&p}$UbRZpq8X~LQoEYyDPx!@%@FdIz%e3qw02(i3XZLE(ql|GlQz}R0e z&9n4T3@@uOpZ92Ce2FCu6JleZm+@Sm#R4p1HF*AetfIZ4boaweSzm9c#3^K2)5Nc* zeFN)jMPZIi$x)7;ifEJV)>@p7Q8VZ?pbVOpx{P)zjh89$N5pj>|9%%0qsWXIR;18p zUwycjW~iJ8ga=^7lLnW%lhg(^{fq{Q50+#-JSI;{UH8@MV8+n!)8|qFkriW93|sRe z5VeXui*q3-m+li&{-c$-MFAn-SDM1YD?Ib+r215MS*-Z{ z9+S_VjOll}tYezTxI0cs=-;ui9Z9S(^N8q2kBy5{<@~%G0GR^#3r!#>35Gbb_h@ah z#5&~*).YW-@D1V~YZSJ?l4&S88+!CkSfsw8(n5I_l8-|PQEkrroS2oo7?Xq}I9 zNKr%!t;k&1&c=Yn7Jz`Rf0pKKIxb(o|OvP=iDyyI>hS_XFR`tT$He$kgcgisA#h7orPm{%?D7;*aD$*D%rIThVc`B?8k?d zER4;>H-eM@*utN;W?kju>s9~l`g7scBJ}@&zCvUc{CDm-p@L6C&2O0F{{TENk&Beq zR{lC}`(L{GYr2^hY zhb54qBvs9VAoRf1!D5w%W*@*+2L;}%0#NL7CLD=>v<(NsfSG~ovn6e8!|?-=mp z(NcXHnws?<<6j8~3F<6_vqy!*XF5u5R|#95_WP|aP%OkW^A8ekEdiD=A=v=ij%^ej2amEKtCQ*8l>i+S>%@?|7nM>s|M^ zY%aBzwcNXoD^kDT;M`x`fw=IiMh!H%V&9yjj2ZEi^Qlf^O7x(j+}Jv4v}NV*8J&s5z16IsB;8&UyrEY2JY}LLZTIPu(-q zKPT@UY5;1aY<197tsbzy+wnlt!>t=UT3FmSZ=GroQ+YX~M}eD8!%u%m|L1D* zM!b-PDLv|XkA@{^N1!C%TQ2*svZ7+8)fS3|BnU$cP*G{`S*e;V13lh=$NSv^IHsQ_ zNrkalty7&ji-sRITufU}N?PmRk{5#sr>dGPEEH0Weip2$~ zMCWRrxN-inrVieOy5l$dCMAwdeYvT;Sq028TULEp5|rQyiXzAteTmWD8N;uaHuutY z8JCmWYK3cThqm4XTjaVDXVE|gH!NRLJ4zUHI8#v9ZjKkMZ?1PFVB&nvB)1zZ^u)#< zy`Z^$x}4PD<9x3-GIOBm{Z(Q3&UrXDQ%Oub*s;;M@N%LciG zQDHB)Ku!#d6qh!`w^o0A+vCixw1RQ)DY0MWN18+V;bO$UR$?w#%O@TSi7zA_2(e-; z7AmV~Ru^Lgn+66-E8(NhT7Wt-Zhqe0j6}#sG|#fy z>BHXE*2Xx^tizKOnhX;>meou@a-80%pAak2Cun5hq#R!`46p+_!xXfXWO;4bXPfXi z=#AfAmj^tkOytosFi{0vsi>*Xp^3S_jvK0K%+0f@X#VQk6}x#s{o&ftivUOKdtk@* zKpJ6x0$tR+zIt=f?+u$Ief#xHEB8D`OWPz#S?o`qjE7|9igxmhaOME~{~TE3`91$g z+jp+mwJuC-zt}p3G2^a;Znz{#H|5_}gWp<&JbB-l1CSPv$<>;P6(|3a98#)jc5AQ0 zsytu2*~}vB!YX}LY5L+Phk~5Vy?4gnv~0%rvOnKyiJ3QnRP={1hL9s;yAwuZA|P#{ z*!n?l!x(`a8aYr{c63zOOFhtIj=e#L=Y#NQ5Pz=W!&lk)A)gw$mSJP+C*!>W{!gi# zbty&h@Ua(`2z03NFHto+t`+g58Di!mCY$XD7=m0CZ|HUe34&X+p9-N||MS#ISv&=5 zd+rII!)`M}v})h4X$H@aWw9hx16^Gqt8Bt|53Q25ekAb=Cd{;8+qh<~?zK!Jp5dI93+ebV+;eD{7u*{wza+QD*9mA=nI=rFr zV{|$w*KU07MLhD7Aj;~OZ}n%lg^RZw&jGqvq4sIB{8={p_&H)b>z7wi;dk!qvKr)P z8pwc=82y{gi_0`AmU0FA~i}uV8?%JPE_Sc3B!+;ICC> zFnSa^>m6XURIM)^7$|gmIK3C_x>wH-cbOhIU02v26BoBtE#<*6`2LKW-^<3B#`Hmw zT~mC@<-kSQUV}+WI-P?m94+Y7XgcqB#6?`%KMGci>-31CW?-m1KRQDQLWrF+xJ+!d z3?$ZnxO|Y=$ou56PZHoD_&2Oc?{gF)?ZT5I!a(P&WOnZ9C*MGV#PR+7zOjx0F?+H_ zKOKf+7&*!-;C%HkXYt@;TKILB$%gCP`g6t5#3moC=04BO>G(npJbS~6+r>$T zW(b(_I=@RTF%V#AzkS=EnE$9-Pp~5q-O_b*WYqpRUn5!MbOv(^eq&~EONRz+p&i|~ zcE|%lKu*IuF5mB~=tFeWHu*%I1K&0~NNsL6luhv*OMB>ILzho?GE4K|wl{?Hi94vL zNre2m+%}A;8RU%Gpu9TC0!@Sp8OK#Xt`dY|4zF9>7Wp3#6`*=+@hqIaHP%q4Wjk4X z!OW4CW)m-ya2RUiDauo2ugm-6bBNfA*~7DJI`HzM1+f6^bRaeR^6%dU|cNaWbwQ(7L)kXT-f3X*bM|%l3s!nwT)1?v{T?Sc4`f^26}4z9WMk5_WJk;2XGibbF)6i z!1;Jyu#NR?Pi9Ws^%#w=VygRr`^DDGk<_nV`q+k0<;?v8!bJuKO3M9#Q@Xbe)}60*7rHq-UngS4u~r0R8Nue z=WtzIL1n+F@%^o>ai~&D)5{9uAnJFfb3flVl<$0o@kByDKh59a)_TklnQ4pJB4^&a zAGSJagMEW>c>GpGN~LZWLuHpy*zQyHWv81)68=@8d%H}}D5TB46l8uN6akj(qU8X zg8maXcpZ*z`I_?~ecp%4O88!Vvfc(J{@A(!AKvA*2y?u^W7Uw~2Y;DRfu_d@>EawT z1hfeHAzr_yxV#u}IO!!`C>B`#;|r&WYBGP^03txNEq<|O;B>UYM4(+_6WJ=e= z-|td#qnRw<&DlG2)HZ>^@7#!_>xIC8S#T8peZN{Jh-u5Qde{`W(`1XK4fCmI80f2Z zj*>@-IPHe3D${!yEzg3fesf^KK`6%IM>Yu zG4N*SD{4Q=tKcE(bRP`?E$E3dn?TcDF~WF-5l6Bvn%Zsa;1>{A{VQ4hqMP1ah=J=P z8i9VxXc0K-uf+uT*!gxMA5Va7wXp)_{R$EzLZR`v zZ|30OU@?1AHa1*qV33Np(VtE?4qDn<1c?{sQIDM`6mTyuX1n@*!-@rsNvQsH@^U#P z<~9fNt6JqZosvZx5mbZ5rKV~p zWEy@PA&LnHmsoMW3ayx!6M~ipUB;3TzG&^!lVsVJ!dvt^ypv9hof{f$c}X`_-(Nzf z)sD(CdW3+fwvc;ZPD0Vbwvr3lW@qGps5tHG34hD9Ph!(n{<_~aNEc~>R4jJRvj?#; z@t3o}=vnPjUGrCHo>9SPEhiyf!ff5)wIply*Y^mADt1?^c#D&}MqW!XSQKhc!NW&p zfhl=JZgo9eF^G#CSt36jF3T1C}iQQfvPB-ydYk$509P) z=}U2YZ;yv>IrA5Lk&QIl>LvzTd|Em07}HEV*>EM)7kH+aqFhrx8GdF4_P1Ua0^!02J)k66V(mq+|*SzN=(wv_ogNF5Ibzdcd`@QHO*O?;8>i|Y|K z>i2&1mKo(G zS={TWFgOz^Sfe*s=oyFi`KXybKhzkHg9mCD~$_}sPT1C`vkKKgc-M$3eAPc0hsJQ6nkH$8ngOBVt*Y(DaMLJ| zVmaRJJOiDq&*60!27G_OT~*83n=AvaUv>d~+Nto6QjSrM^N<3=&8*|Zff7dbpEAxNA(L+cbxAgbF7&v|A%g#(e~a|9P^)x zLFuQOMV#zbS^MUU=D91uq$!ZdjjI=nc{CCbRy58=NvvJ zx`)quYd`T9#no!cx=AZ@_g)(uW}}hTdN>yU6~I!BzP#-!*H@*Cm2-U)kCNi@S;1U3 zP)f3cC_`Jznl5=K_ufUK3CqI5*9d#htU%I)3jw|cdwg=}UXy9ee+R9zHdxt{iOZ+| zKBdUopN(_iX7k>b*JdbMz308>2AAU#yeqwMU`$RiDpivQwUh^))`EyCc9G^kMR+^6 zxwVI_iX!ytw zO!vk*`TQchc*T1>UcNqB=svoqPVLD0=^Xm@v_EL@oR)&g)Rs=~rl{5Pj+SKT$LQMl zF&ZEE^)$aLJT9-&>il(nxHtvs=r|>)c>ITTe`57hab|lBDuBb)Ngs)SYpr&!SE(Ys>k0df}SQe`#J$!=(j{FFYgdY6;Py_@|R;g3i3r zi_Ys|Um-7Fd+oyRJccBS4)>ei$m<7K1cY${=)Coaf!$uk}~HDb^9+=W5W>p z6YLz7JG5zSF0@yelH?!4zvh{RVt?~QL?Y+koVk7MCpYzO_!tm8pd{I2^Y;-fGWeb- z<$zSl&r{%<<>2G%C@*nc??B>|>*4BU1=pM+V&VlwFi_=4<=5h z>o#U--hXRLfi-6@$_UQW`#bW)t$QU7pX>^yr^KOa>E?4(?!%MxD(sY(6H(orBj-hs zg(lKE-$I;=W4Z3<_5C)xIi3$pR6~-CaIYEN3vct*gt=>Hfl2DG8X|O}R+8jm-tt0h z3yBA-mtDOnJKwF!cZA#Qu0*p=d_3f(I{hjM_|>!pnwpoUM_c>b*y!c-{kBOwm?eA5 zFYS3rqDIJ-jEjBEvIq8i`_`r0t(WbIJJY+p5J_>?qFesqc@!ztPVYL;SHt7oF7o%K zvj@7loqnmhr}gJ>DI6ABFETe0Uo>kY5~$mUi6UJwfL4{x?8Tm-E=X`CEZJckLx(uN z;L&OWgpL6F={3j3);L=(8f8vQIEZz)VifW^aS%wVrmkpsHZE#-GCri)y=M&X#V|VI zBn(;M-id8Iy!~!Xi@u`th_YA zKozRdH_c8lqbCrRNGQPu@y9rFQXeqFx5K1~pnt(a#G0*^(5+{X7zlP@sHvOq{CQh) zI>s1c*8H-L6FQD)P`G;Fv%2MlnG0LB~ow? z2Cuv@r02amRKi7mf1c-N-6UBjo;rKS3ix{6Cq{)9+VIG z%#|+l*4=XMx^PJjjKVJE++*fHD5U)uMEp`|cRXyne~tCzq4hA_=Z&QVjilnUMS5p= zG$Q8&(q$Kz_A`MktoysmZD-*~93~4836{6D2mQ6<8G##GdA^pIwxvR&hPWu^kIbDf z9x7kxJI-!%obE_{xo8Z6=!6X(0PM=f15MXXfp6}V<~7f7>@SZ3)fZJsfAY#v@s7Sh zQ^2BR=Za9tIaS!Fe}@SO5b*ZBtct5=m#nubgAb6;F zp6Gq^i|q<_I5T#|)DpU6Q0J{fRCrHDk(3N+UR-1D)?dMjIKxL{3qzW9P{N*CQ1jvY z7qplm67`NXQID9+Tj~8^k<|-YnQexkrze z%^!h&zBvWbC+`c6j1rCOb&R@G+UQUL7uHWmP}Oxr_}(yy#|G26s%CR8+t*%D zhTSF+H$g&C#W&Y$*H2MDFXAAaBYZ0ck>_)<#aPVzS<6U2^(eKG_~|W<&QDpqc52Jy z3m`PsLk=RCODVV;i=A~jLKZF3Hq`y)s23xb;0eZvE#hHdyH%$RJW=ZGA2yRe_LZRE z3U#8OP~|ZG7TNP%+CiBpwuFm%zaE+ghdT{x_@^B@zpjaHMj_x|_Lxl0_wVVhd&9(% zgI*t$wh}?^z}i1dvmCz+Z8?i-IH-5hOp7EaG74ci#>G@Qv7!ifYQwskWDIVStsCAe zS1m4tGi-EO+r=q)Y4Rpf4WyOJ~2Wg$p+)kCRIsOA3Hyf{LA=&z8qS{EFIz%={oWZjj~zS$;UJk-dCDWIA^9xFr!$?{AT88WI%g3INM;&Fa?{fjL*tZ9DVszVM zbeUyZXAH{e?`wBlXG%T5!0xv9SoSan=BjeLfq|&XlmM#thdWwPdrvC+LOSq;&bmq9@gfJP z4?e&4=GkdY(E~GwliA^QVF1}X2)X}xS)-S)Dy?=-%Mh{yDA6RuB_&|=g^UyN+4Pu} z?2QBz8;_~1hEslAgcOkWp`R&n%Z~EtlYsfdoB|NqThr@U%;0;lV^p5c3Fc^!h5!!Y z;=OFplEyUy2$>xNPR``qlY5k(3btA0lIPiMY%_d!TKKO`nSJ9*P90yFvGy@P z6Rsfu3$dxyJ2o9RCAs3f8U3!weClg!Aob$uQt}EjytyA;o;Rs#kf8pDE3uEZaOY!< zIBsasu=C$TB#c83Gfs{I8Y=bX8X`tNtYeyo-8)YRYrgmIw=z!e_M+|rb~6Gu6jdvK z*ch2@1H0zHwO=Hgi_PoJ8R=jaO9rj<+#AQ6TN!q*)wKwvw&NwaPw1-Qj064GBhHC@ za$f%OE4*A#ZU)|4UUckR8u6TXQ&mKh#{a5<=^TLE)J1?Yx;Ga2Lhsk6*rZz+ch$ zir3iMJnzU^VD51EzzU(PC|Mor4Kt9Hz2_VOuAP|}?vLQ6&|-FE5(IW2l;}LdomKET z^7dq$y<2%?x#jnX`wxq=*xHO&u%Cd(`fI1($X2V*fel8xo&KPJ#5~T~adFncI0XoD zd){0{&2V=LM_uIrWxZ@AV36cI>zz7CgUor&nFNwFr@MotSx1}k z%RF((%3hW+CEk#c1TKz9xT_kWySf%ZUR-tyw811GZhkJ7)oZNB&Daipv0k)344z!2 zvxgGRjdM?-j~jJ}M7|q5hy(Crf7)S|^XP#)A9@;D6X9|w_wb@e?#(%W$&~eP>)m@_ zy_%p$UqRYfIo;<(W`4uvNAHb`6;P{gsjkRAMw==x`L`Ih!}*J{153*V(&bwku@^Hk z_&*csyP!ev0YgY8>t2%khL6e{VN&-tetgA8Tx`lcp%e49M-2{p?f)FQ@BJI3O3bxk zv}ogh{*YN6b6iMYNXEVtx8<9iImL?T#@!riKLrPaRFlRr;hLMN9_5R@kG)d6z*P99 zhAXe<5MxHKL3sMqebTqY4W|;7MCIy=AR2! zy%&bd1fI39>jW+_tihH`&jN9yavYCg;uY$icH^2klz1U(zs&xOU?^Y0=P2B&p`Wf~ zdWq*xmLDSSzqFp-ucEPL|LBC`{c29d`=ak)giC(DQrNNaWkk(vx>lzyVkV=o=UMem zaPd%Tk${VX5C2E{$_-^-nvsa;x6b5wS>K1p8#bmwD~?bP`0bV2I{G;&&!d>&#F} z@`ADa;RRE3t?j0QU{byU;sPw8V<1R9e`}dda#IriIU9rXLqW3$1$+_FWUcgXPIu4e zV(r!Yt_B*znK!{7_lPcRbm>s7iB9fKG{Cim*9wpd;;FBqMy3{?GTQ+X!Y3vYN5zj> zR+TLt3xDmH{9;_+?5?2}srXNs5_PBU(aQB%{<7{Y^*v)ra(yAS_ipxZNT?_5^=y{k zT_-Vwh<9^*x7Nt&BF$7`y7~MDM6SVIE>s3mlZzHw@pEC+EnRd2x8+f+}YN5 zrVO~F?Yl?T=z7KZLaQ{{yV9N<$dr47n@?%~rn6yd3vx;YU2JbDe>8#)*YrVM#oq<7 zJ7Bxs(eF0nJNV5g@4iHVKI2F7EhOqYM-wi`sJ5p!(OnVOUG@Od{Vy0Yv}@B^nsz#T@jaw%m) z7`d?%x`R1+!W7@3?E@iFhzoZSeSO*20OOshBQ8OE`Y$^z$>bLb)hd_pI}Vx%X9199 zXyPh|rH~n!0^&S(aK+-O%$RKNH|GAGI2wB4UyP`RgEPb6#^uWhCWe%1)VG8x@=+d5 zOjXr{gScXcNzaLi+32EaRSKzjtjDg~h$24FzR@$p=?7T)B~d|A-D4v5+yZ&jV$V-` z=ZHUeKvw$Bj_?F0Ky(pq`UiVEsg@;mRtY9@c4~E{>9(O?P(^?j;88|Nm@8ZNa--k8 zrjf_LAYtrs^WXD70&^z5EC*01s12*0zcQFV>iY}6_|r6|my7hKWlo0v_~D0t;%*aW z1}AI%q~OnDOXKSc;MX=N_A8x524h302L+5X1iKCBDPHohxXsQq`?EvV_u#SJ-&v*SB&km`W(j_Lh%%y!r)V)Xl z<2|i150BF$0@-On(#gVN9~`)qz~^TZUFu<+-%YPs&3_3^uq``2p?=QeHpKsl=aw_N)7_r0Kt1EF=KKyC`;bWLg7wy@c#q(A+} z75v1@dbK!AXKh45ZxG$y*R0^xN20`$n$Lj5W)a7;3lrYCq^Wd=UJ7_QiPFew9gc#hVtdd1bUyLf8&T=TepxhIF)k|w&}Y3K8o(C@uNC54 zr$^-{rjy^s?b2wQ?Wq`SnQPNAbKg|7-{n4CEq%sg3)1t=^!hvbrD_N3etx$R_w_O) zDg1!LbB(tr%B3|+9*^9+*?QGZ^dNyS=S{zCmj#P$IvR}p`J_L%h7#@LLnXX!_^h{Q zPTVKX>A;@dyWGc(dh42m<6vqt;I-Vuh~w)(OP;dpr6U(e%G{iiBq_b{Sv>P~6pnG4 zm&o%>5x%|O^zi)A$l1rq2CU-1#h;LXywcvv?P=|rtE8PRxwh~zy%`WCt=f!C1fFc6 z>NrjahmfT$eB4Cm{}u}{6W35d)4)RPj-`0G`L-JsTR=hE9UBaTSai3Ux=CIL`}0Sh zL+&#eKpp1=On66r7wLE`8y#lSfw2YBlX3;n$l%voo=C~Gij^DdOC z!82_|WPTF5wwzS2q&PgNnM1kP#2K~}ak4mf^LhTMZ_iPG9lZ zTi#f|2L+6{5no`M9n5H3Pr{xoerxE7+T%0o)8P^hEz{#4LaGa@{0wh9B*Nhk)O@NM zOQInGemzfE*8~dLwl>afdA;fFpJ5|7yKz#xQ%favBc7ZY+(w|^ng-KP? zZCrg;C~GYaO-}XFkMYj^TbTL4_h{}$P6qUZBi=WUiwlhS!ub3~_4v*BFNv8eVCP#W z@Opec7^C<`F`WCfU*1_z&TV})AJuCO1xNWc5uQ#-gq*BJ@G-$JGEo%4r1<$t`N??% z`s*Y(8n?#_QmaVsp;Y$rLL%FdgZP2<5-wnbrg7-D59q`aHMf7^)mnk@^=R|Vt@a_` z**sDaFYY*uPQmxZ>pP^pgm{hb8x%>;xA?|oHq4+${rE}$Y~`MSuvvA;!KAtsEd%x9 z?Msc(SDjSJ>{b!08h$0EZaCw{kiATlwSlNQ8$VdYmi+DZAyfAn!uX`YzdP>ygsA&J zk}rF=%!Vv(3Scs>r`b*W6n`{_mguPyTk!YpTJyR&Km&I!3eQ!W)ww0H{HuhvM`_k! zh6sRH6aB{uS-hViLadxPg%-43{zz}Z_MQ@3+GOq9fjUJ2@XoM;<11tm@V<1XtM=M# zTcbPk5&QDvB3eELk&UL3LH~QQ*Uml*| zj~ATj)gFbmSBtqa$v+YtBHq)Y5f_|Q<#S&Hb^RxM; z$Cp@U@I-1RzX5Q9+K8?ZOKyihh7U%K&Gf4eElT&s%J+R5!fUX=P9xu!$Em| zy_GMA3f@>rryDiL9UINeC!Xqwq{UK`I<>IvJRTHT&;1H!dwa(VpE0el>$}E?&8kaP zK?dXQcqm!fyN+T~k@8bqkW@r6ac5c8}e5 z1JRqbx1pL}(zfrXEuoG*cVr=Y2;{0@@0(mAx$mk$a{^fAl2e-ce3N@t!<5VD>V~}* zEI&M{vK`!z?zi3%iWl=emX}IxtMJu3Y8;*}oY%lz{~vpQ*%eo`wTq$NGTJ4LeAKJVRa@7wMVxL>Nxnsd1Hr`s64WSVQp z{wZN+j{|++#etgbAW+zt{O%#z>5pi>F8=julFrFPXw6~cBfR|y$>(uIw|h!<8cA+B zRM#nC<#rp9#zO`=zL4zH7opK@dn|5=+yyOLK-a!w>ZMW6fZ-oKMqkAqyLpWs4$C@vL;wmcMPwRlIgXMzxk6~V( zA5M&#m#ukznJiKM$e@AoJ8GBCt$l{YcJ>DSjvdJr4U{azlK38h&R-J+l%S!o$H$jy zh)LRgFG@1G8aNM!hZPeA;-Pp^C1DeKh677bx+5VOJ&#LKWOY-f%xUTCdAYZC2d>wy zJiul8Ffp*RX_20zvs^^5Z@}Z0C4EoYTnM*GlFZK3)GJq-9IGtvA&YIX&e*_2b!bV$ z-fs3+@)&r(i6EiQOh@a;$1AN3lQJSRPf~`H!!iH4a&j3e&M74f=$r{x<3|XJK9dXA57DE(w`Kx@ocHP~ojGpS4Ua7V zo56>+)_cvZV{a<;)u8(=q@3)&wWQ13!>gMQG*<2IHLIZ(?GvWmhFnwRHu|K+$fxBe zm&bZAt6WZkQxcj@Z~&6TFJpvpiv>SO!UAujFE%Yftv2M9KfI=SQ_i+_RMh=K@s?YG z%-Gpun?%@;4|q21-U3T+>y<+(eoR~BS@+?i$=xj00p+#~;Dae^kL4vF&O|3w%e!$j{N@#Gv@f>s z1(=cxIS<*$E29kGdr!s%0Y|>BuSRsd#ay)*`r_-$TcYjrv77cE)z-er5u=QMp z`I7VWnIIZ%~}2+=fwL&EXPBNK?QFIZ4N zLxPVyVXLz0naOtZ&VkDXF{{xSygIzU&}y?sT~=sLQn#I(!6&Rmb+}(mGJ)=`NojwM zorS4Rr&6eDq|8$|hK7WvSQ+Y1xlT7OpIfO;ANh1!bw)nDD=dZ$%(*itYI&SVdDhW- z3?*D;PD`d7E}`*skU-r^{rs2#P=9?1RPGAzf{JRj<|)x?^*GUg1tt`&TNp1?ig(UM zs*85&(YRfWSC5yJ+;30zJWp$_6~hoLx)`2-bnkx5%2dGSU7nwJ9_)&rrr1DZ@DPse z+r%3+ld(YmQalZ3urtd2Q46idnDJUfBkjueN%kSCMO#xqrE1n@Lq$m2;!Zufw;d5D z*h~K?$qF{$o5^rDg1L+6rOkY_Sj;X~Gwn^Vn#9v-sBOP6XetjttT2J$_w&wV<;P=? zcVc8d8k#=wwJrIqe+b^U3QA2OB{}>>Ia7Zkv%ZV|$uFj?58APcVn-F!h+-smc24tc zMkJ~w;pPexWR70gD_~dV%>i+Z24k|3@3n1(F6xG_DlO7guQ0U?u1{v?5wzKUp66^d zsr`1*sn&fC7w)~L-_Dru;LccnLdtD@Eg~Y2IM&~h#TJ6I+jpJmc^7L&gT@Up;(orTB$@O~=UNL?1%g))s0={jJAwdHZtp5rFGLD!^TSa_&*bZdnmEq$TIJuf?Y-)qPB>Pv%emxCpTms1H=p%696LPw|uTB1vQpa z2^zF~y9ACW_NyoNrpe9^;wm#!!NnZ#heZG+7^pX7i8}s3OrP(NZh^6#gXy@$ql>*3 zLjG9ctNrJB*U@5l!8Wz-v8I36WmAW42%^L`O{yuilYaTKk zoA^8mTN>{68ykkLN4HUANyS0(!31jQz(u@OBn9Jd@f%XMU}eb1kDX~QHzqwHajd4_ zUQ_>YJ0ImL6-4Yxj%jW~cUAE`sL?7asP7dN@SkG(TkM zcS;VRWr!QF2(&mds70W?$HQlHz}(bL3SPVwO+Uc@vRv_ZH0XUD@-^^mchjEE`*;+U zrr;0}d7j->Rk1WQG!TK8qxv+H)wAaVKn8W9@c=%Q>jLNBKS7(13vpQfGwOr(4vfbE zPl2D@sMm;%&Pnr25er^po{Ki2a14v7h(jjr*_ofaY!0L+Ahmym#P*_hS78Av{pHEB){RKx1 zH-{PS%{GY@s|ief$Y_6#Hmr=4(RInCGxFq?Kl#3Dic@(KveYVCSb>8J_Sw3pN!OZf?cq5&fzVjEoOZsTFjC}$Bc@_A%;wY;Zbx0Pq2=~FLAd6JH}C&ROw%m}Vf z^jJW>js{o!mhtJ^z+zPZNtUeH-b&{n!9wjms=uIvt)mOING(~fJS5^>UtP`|FWA!- zx1SprvcT`%rH4U{qWl&-Uv~%lou6MaJB5V zjUbfmwQg{@GG&hBXMZQk33#Vh?2H-7zvwp}p2704PT^*CAzvyr+W6Q%8bMNAT{4@MJ zsu3ie32Zxqbk0s0imgXMz;yX?BH{B%X3;&WMSbq(pfm*vfA%3quG~m+H;1X<(Bgka zanNN24-M%PYo<{s7OifT?`Rs=M5dshVsZ7ivP-=c%cX=?y!a~D_0^|U1b);7E6Oqc z`6M_8vmu(hGO zB|!19dDsj40g~A4XahAJ^DP>zYs>I_MSuE9J`SNrQ}>y&{y>2H%*a^S%^))-KE-P9 zP(1)_I z$J8L!TRMl!c6h|TsOZ4xyx&;|pK@a4h<>i{s>mOha>|Q^gPL5j0GW75ky@fHBxi zZU?%+MNluVmj$~1;E$nKzRg=DJS9s~$MVSSAvR0CiHN4dS7P2;w&R>pPxO)>U$S1| z9=b7KoK-tbk$Nndrk=oNzU5vggiK@gT}1duQXc!?R|V9Rr;Jbb6Az?LRet`tc`!a;%e>eDf) z#zn=!0V~Rcs?rLRoWJ47HLi@QBmwma=C!kUrbOMAHRU@8EB`_`Z*)CD$@$y{bZHo8 z(D!4mgZCJo&6`|pDFz}_y8)xD$ZQbK%wt?I7?#&f6Mt^tX;hzwCXh6gPgf$8?i)Et zBg}jANQvyxC)>z-!s&waiFvYEywDaW>^fan(jPfAZ7gLnv-WkQTUeey!U$EfYk+HI03txKQUw4-1#+AOuHVJz6XbZ#sx% z^?dKTV%T=m8W&OJZFs6ZXw8km&mnw1yj}-yKq$Ra_Bq>t?p?TZ#E$FNW$4~D>ED?4 zE)_p6*C~_lPk!7x$L%FDmYQREL|1p9W!FL>^RhxJMNJ)aMH=;pmLTMOM4kQ_3iXCs!{ICniezZAYsbsyC1 z5{7uWf30-A5n{%N!16Vp-faqeuoc$kKTA!^%uzR+f3-WQ8#Y|Y(5>dvCC+q5grQVY zGgG>@z~T8E^ZLvlDzA!#cxifp^d(>ATPJ)Es?6caT&4GeP}^e}^mRFJNBbofGffvX z<(-N}zZ1%es6h&;Cib9Z)tX(i0wT;Av*4{O&z*+VSAv`DI)zqQ^ml5d9W%K!*9gbh z!r#!F=3b+^2?T4cvAiEp0;rlOP_BjycUm&;EU$|UKL1X{g8cPTm>7IAotBKS^Lw$D zLe}pCuV&VrV)Ly5BGO${yU%}Uo3_gHBC4xrFp%`;=2y*vk<8kP`%84{-;{7!ERy5D3aMEsC~j=l#%3gQm)JT2EAOzb2v!1} ziJ7}<{|Q^};2VR2iiN5s*CG^J+yhsT-kJ`s2}9gE1^(^f?Oy_9qaVEp*|td?SoE?B z-rn6-G4&crjwEBU^ZYeS)q;-Ap{QSh9^BeS`tlGpe<-eB!O*&q5tn2qcqr)$&RK80 z%+;FmnLdi$YEjuWtwgQ;PcSCT3GN*vUbT@>B!WTSaf!p-!FoDt7*D53+J>8TwT3;dt|O#&Qso&p3_IMP(s@qCSKa`gJN6+t zSB~SHLI^2FHLsRm(84i5ifRNF#Vug_UTNB$<*Pm6#TfY<)YtlR)yR{Us+la8q2l+_ zM+7Y_$6kb%=pmg+{c0QyCU+M!4#uDMH^B0wigKUa>1D_{XPb9Z)rxFUw-$cVEj@~s z7kUQipy(o5-gfnVOtTrjaTnHvAA`Q`E?|tUOZ?PX1^QkSXSLzu`U|@yaha>ETQoz4 zRY1kcaWON7Z&O=05IA!ba|*>!2D;{%A&u?m5O_zzC1LMfq>kqL6e#JaK=?Oiz&T}2pc0j9*t#PCF~kv#c1Tw8*eSswB#1`4uFbcQyCn6cg`v5>x@IMN&N zR41fxmZLzGjBVcECOG_oGI##MJq60Ez_BH8h@jt|9UsJebZ7!*NJw|?+a63{5f_IQ z9x%Cs?~{4aa3O-S)|GRX;B8I$@_}V;y)D6`#>3Wti@yJlUO1@`SV%7(N+zzQ5q|7Q zedPF7jvR^RaeB|bo7cX>Trjo<6s1CXmmS($|7-|{2iMe{bm49SA)kf zw$8p0{-Xn$V?mds}@gRj&QALY0W6B~<< z%RRjHHRQmKFsT`Hm4UiY7OJ@z zAh^v79L(j?vO0Ba`e#>3JaeZss-8^=2yHEK^Bz63JN%y0ZpeN*D}gk*7Cp+;Rksmd zEEV;Udfh)+r_V-tZl`RXSe>E5(^op63xeN90LJp#=CiG`=BL#p+06-Qjjz{)@z-~$ zek(U$s1BGTysG@VaS_E-E7a|amcEF@q2l7IRAHJ^+&yO<;?xw~^?Y=Z+w3#>l;%l4 zC3Afkcn;*nlJ2P&QWnDFFv(=M3I#l@x^v`7O+tu5eq+QzGHIinU{=2~MgPQhJUBDA zG8japYcP&33%p*+(b{wB#~jiMZO(rhu51-}f>)O?VtUNi$f*V$Wzmk=D zYbs)O3eX-e7|~~{xa;ude!R5xbz(?dLjcIjUOpN$EG2mtZb?WU4M~pd=uHm17L@7@ z_G#ksW>a+rAdx0S&w)Sn#nne{_N_1V3;@~Y@B2I_1L||~$9C~)jqn2oaURjc?M^{u zyLsk;s49Oh$PlR86v<)_DazK&k-jMz7d6l(}e7AqNqe zzrM(8XuwcN5tkR=a~k$##%8j@WeWgHO<&h~XD`C>!}7pI8HMd*x82mU6(fG4h_RWV zea4z?dVBYaZ{s|77Rx&N2X7XU<*^WL6qc-KtGvh!`YHwv0|~EB>L``RwtVxSCS3HO zCg-dvYHCx;(H`v`j-JDz-7=<1L{UQ%w$Z1m5PtL-+ve65R4-G!wbfwY>VO$9Px*JCp$D^zXiqL{v}v4A1K8TutM{`>6b@UnX=xtSEYwtA=Z}g z?L-P`gB;>{d&3!wlo)JLG%qz7*UTx}U(Zk+-J*(4K~hfWg&LZ7FRcyt8)L_*wwgsV z&yc+>j{J0fhNT08=EunelG*2MQ_Mu;> zipFxD;n2aSFl_iMvNz+GkH!Xhr`YB(I@u~-yF-tkCootJ+HBm8ei8KVF`8Uj-Cz*$ z%?BMcPA#~ZbXsTtmW8L50K~1iZ$!)(@o;#m#(RAZhX^A_>Y`d6=rV4T8#n7m3_zbE zCorh)ewmB`Q!c7}6!hK5EMdk%bHZi-ZXW?dIgQs5bg@dEkjT|31kEUY_O!_bSyW((ajN-ILLQF}TZSJIDO=V(L;(r^VsZ za(jAj+Kl4;cCdHLAu!|IWBmmn72{^z?)`dNy1!I}_e7o9RKQaU%QG;95S?Ca z0H7$BwuC_B@(;wHddw;*6|_JS!L4#&vL1c+hTueCIKK)3fccgpBQV!7bzQx6nRu!E+q3VrN}-*w!y z$F*%_t-fr*zg0T#`BuUSbIT42tf9###?Bd4Li~x7;@nkxD~=&R^Wfojp!$xYbJ7R=h7ER9~3V-X^&dPG=cvd4wg!< z)`wpgdx^%~BR@>$Nsl#`S}?(TNLoL&0W>%&BGLJyI4p1%_bs)Ahd{bj1Ds-rbSHgB z39y4mckC}jjjy>XdszO!Z@q;jIO9(&d~N{b@bvb$fX+_~fgCmFp-EO{_~`%j1-O}G z6YUK~|I7MiKRF(6Apd^qc+W$K!ZejmJLMign1MQ-v-Wy$iW1d&hlTL7?y|TiF-|p=2VHdgk2x#;Bd(IDY-fd zDY5Px681F+(;#9Y9p52O>wT^XGm;94A;y`ymfu{ers@^Y?T9d0r?lTaE?Q$C?Izm> z4N&+I8SD^amzP>zxJ9S9Kj(2GPo?B?VS08QPORT3 z)V5Uc4QuQA10ze7E4zk>p+e`@c_2m$2M3Icc|3B@`rekrBUZH43g z8XD@`kv0nI0Ojyn0&vypL*g&-BD$W>j#scAU&x@f53S11&F~_cY;oKG87-Ra6!5~A z>38W7KRJvRV{s_@s$;4ov;#eM#UzLSs_pQ0R64%S&7MnXfBEgVW?> zxlAxIzU#AQ@0Z` zQ}4z0*N4yon(&KyXFnf_-uI1bA>(JP`jwopsW;v9ovy#!l@f`MmTu>*k~x!Z zasoF&I>%yVdg-i6Zp=H>>h&J{|vaQ`csun=KxGaT=L8R+{(nN%d5< zJA$&Tk?dqs4b7C6sr5HYZ<7&{awAL8YfuPNuW!Ax+PO<_o#*s&yWT152MJOfP!;^C&x-A#%r?;iRbrUIrK#0D*>CoKHTZG?65s8-u?k@ zDe>%Uep~ipWH0iowvnz{nrxv``kqQ%tmgA?AqxdDQ#ttR%oa^U@Fc^kTxMo%D2(yk zTe)x!TyE*#ZpG~KIB?8uJFhG77Md1*k6M~d-*k;mqZ~QyGr7G5E_dQg%YJn!@2NV# zsUNM-bCT_8JVZ@qdM})aemiJX_%rRi$fnzY6n6wQq{tW*7&D>u9o{LP`D5cQ&Ph1z z+TsuO3Z``#8R1I{-_CE818NQmmx4uJZ21SUwq)2Z&!10zFEQQ|^j5jErEi|p6sxI~ z_S|NbR81#~y{tHaO=}&m#cEZ>x@#ai)DdO$oNyMB+Ff_0{MfH?cB>!$Bd{0Z7)g!q zI@jxri}4f(vY8EiV>>>2zief)zuq&yEO%7S0^d|@UMl-t)3dtJXyakuE7b+1YxiXC ze4_fC763grx(Nh$M1w$Ccg~*gEwEXBM>g58r_T(1dxyq&-9oS`fRLG$SK4(x5(vCt zUE^^&;Zh&uc39VVVs@LQI6uB&oh^Z+Bcd}RDQE2);D`x1ygg-Fb>sF{W9Z}c63$xX z_;G9H7tIBwmi(BySm)QiS?|&XD&oBHqB$QX5|tZ8eQHAPTwH+tHo<(mF2%#9+thl+ z{9Py5E*cSR@a>MHwFC4xhhiAT1a<2&1cxzfGf5Da%QU6kGT53jkkPPgmdcF|h$DkF zEI(&x;>Wid8fdc3go0w~uiPX0`zgW9+V6}6O>?)JMm=R;u&O?|BE_e9d>YbQm1Yi+ zen~GR`{Kc3kYHwEWnF|EQ6Kn-@=Y@-S@6gh%!tU5XIgsaCY$2ADG0XWv0P zEO}Ys&a2+(r$IVr$Lh!E!Cn!|t-exSEwg3*-~B&6ff<*%%ef5H zg3+Q6KjKl_r_rLMi;=BqGj=v)A>`4$IF@~@5K)BHqN7q%-wey_4;+psoq9AP&KPxp zo#!@nfF(8k)NG|mN`VFq$kq*It!l-`?c7U<9`}7aW!S%^iA8EHJ{KU z&)pu`@n5J3v1rPE6Oq$9-B&$`T4}QB#+l9;hl%swsJ=({%Mm1by5O{l(kNUe-LCSx zoeE${A`=~`uw;?Ck29jFEPW^nl!%`S_SlWS;{!%3FKC$}VP+X5Dsw3r4Pxy+vWvLm=4BRvX)C1V0&(YJN- zwmb>672vr#M$@>@U3pl|r~8N4K=?_IX!2gQVO?&Y61&VipY_D}Cqloj#<=XJimS73 z^YT60(FI;&zB9l>w?hn~RSxGc0u`0sKR}UtxBGi7=#@>RFNyOE`K6ICmF$<^A0d&C zJ|=h-Id>$u-^y;q1fu79v=mYECtii%yM7Whn7svJcq z7TuTs$(p@B-(o9;My>U>;xOkktP8?ayFC2~L|@UDxhD6t)1@`03q(Q}W89(Gdl(Pp zS?SL>N<&EGGa5>wZlMz9)1=_-E!1cO-Q019h1^zp-M~JrUXG#PT^E2b(|RKEN+b|l zQ<%o;C{po{qL>mHoKb%jBC=vHdFysUwP!qEw5xLM zW~|4*OugI4=K1g6zt>b+V<_bL#?YWGJh96o`0p)ZzFuID?t-rj>2KzU_X(SkNl6j9 zerz*5%4|}H_}&+DKbPTYZ;v?>%KW|8ye-iw5hl`WtbZw+@!iM)&H`{l!&^I9ru_wa z`^mh%z#>rf&h5G!?2VJ!d$8c$E<1nj#_2?js^?i{uqJP&uhzR z5mK0b%2@q+?5JJ-%;I|UYyWEnz!y8+TrQR@&B!KPe{dh|!-q`s*p3ut>QBbs`MIN_ zWD!=0)EJ?~)`jgCd_TWu1la4osir>~N$nfai)exRLzSv1srUqoimjaIJ4u#WEh#PWBb8WbGXq1+JB9h($;MTHgqZ#m zymU>md>1tA&kPLmr{qCWa%~fHfgst5w>F>wo+Xan8kFFDPUInIp)&ej`!dsnY(x6R7zhQra;{EHb0CQ2!#T|>IouB-{l-aJuy{7DB%u= z3iR5WF5sTPX43gb<-nd_fH)RGHQrK5&46Mv6N)`+ZfO*T-V> z4M4anh*eE^-cdYp7`}@!26Tt6Hbc-T&^=D&y0~PAV^gU9w++;=e?gz3ASM!@yv_Pu zi5hK|LqocIopcb*Bv|Vg6c+Xc{QBOlF)yAY1tEZdC$To;t_dEfZIb@$tmgZ`2wf|( zol)h26w1Bzj$3@$y+P`48fB+9m$qUox)h_a z)zLkG2eXwtwRi$gu-RZM;uAIez{EfCC;iP0P8p3lsbqC~Heh|;l6d;`8tpPtTRElsww8iX7!+2_IP$1GMR8 z$c;VEa&#HQs^1kG&yGlm+Y~>a9L^DGi2T)EhhLe{*7lFQVTZnTMCy+OC{y7o;SO8p`zLL`}4OW z+^r#2%KsoL^GGskb~GcpBF)y7ITI4kFWRiDa8HKb>i;l<1Z*8cjq?w^g4zcLcftWDQKGidCH-~R zBLu9Gy;*#m<;%z$_73uKP9Cp`_^c-U1L`-Qnz`}n;)r05NXvL3?7WJ$J@!|^|8-Rq zZ07PWB;_HM^UBbL@si0nTbA+Im5)tVGTqh%h6R6e0dy@M9_@}MlqbT4Rr|_Yz^oDu zj@X2oNRCz2N~Uxk>SkmiEBIA+&gps3l(KMio8yMNR% zBt=pZV6B2An?V;yXfQtSJ?lgadi-rEjkrvhHmc^QzwJ-?m)&$Eo68Xj8p>#-moJy! zm4sMfaB-3nkPeX796K}HF8{ZffjPj4r-k(7y@KNOAddhV~PAmTi^&ReQ_ z-TGBV4lX+`amIWGEMi87hT;{upcm5yw|f77=ozV%p2!5Tv(bizhNj-q#WYhr1ckAStOo3Up6vO~z$}p3 z<`@c?1vljH#ssfx;3ORk#{I-51-*CfiB;hse^bxvySGw+VOv!@!A;$un&0?fqtxG0 zyUE@NgL)z*664C9Z` zjby`BF2IFY4_eujevyW%ta;vq-CJP{5qKj6R!zuB!@c-r;}2Axb1Y%`W8R~9P>WNQ z*7!^lBd(4baz#S~ntcAZOUg`T}_(vK)wyPFs=7A2-uS_!ANx@6Du}o+uv2>PW;hCZY95j8A z8+l3M!PZ0w!4{l)3|?OU#0+|@w*f|D*5La=?#9tRMB{a^Zu5;Xur71Vhy6V+#FHtr zA%=@n5J|sf2ikJD;Ijc|n>+R|n`}R!H75ht7HJ&v4pj9(v5z~G2`QV6UZx0W1>Swu zuxs-JVHJ^+#_BfauDlC5zaDN8Gn3li#p7@}2d~Ws_=%!9asm4&n6ROHjicsMJ`ad>doelHv zY{ZzEt9=e0~Q2DZUL|9Y-&arMUp|8gVC<9rjBy&%E-s`&pHN_A5Hl* zA1T&sY%7f{Et=?gI7UeqwLCg40#t_Hp z-({^QME}3iSCyxR|2T1Lp@H#Ef$aT+nTYO_H6S1X@bpv7W3Gq80-^nNKXZwotZHFu z;L3lp#*Ov`<}8=qXt3eYPE17)Jywqkr4{=4rqAu&uN4O_RONM-QrT(XBi^izi8!yx zBl)|W(zU7N&W1qyOU+GmEA~5 zhRdzcwBRNRSOWo`Wug=z7igKflOInA2yVG*=*A+VA2DJD3P#z^7c{HN&TM%7Ux;;? z1o1lk%AUq(D{Txxbq!2r8Bl6sMwHuy-F(1aVr3od6e)j5g(sd%&pt_x9Be(95~kGkAnkGh0vV2L~`u-v;$FEF&b zsV2dlX#MU@+bwI4-G+!~JW#&O8)btsJ5t+oTHR@>b>wGAbLnvvE0r|pPQonr%myH4B!BxO;II+>sl)}UIA5gq@Sn+qA-FvP z%-%o{`*Zvyex4ClEi+~46(?aEy>s4r-&z{4oVxRvRKXx3YiIX{6e6N1|Jds{*O9i* zAG6WG9{)j7!{%=uCa3C4jMg8GtwiLymc&y`lwhjo}q>GZ%QmE-Ye_wxLx0j zE^Xy?*^frV8G-gw$GBI+2%55Q<^Bhj5+MKb8e*dxk3|X|?@J8N-2Z;EgO2{6ryRh? z7rNtwhD^qWCqR?5ArL9ynS1%5n_lW_RtWATes7->q#U?fFyhSSAO0S&9HwHu2#WvX z!rNu~aJ1XPl*x;9*!vNEIZQlZJGKc_<%B=-r-_+rz^jRCE*-uW#cIT(ITZpJv&&TP zg8s#d-Llip(Q8Y(K7Fyxga37oK5SG8c|9w$HAUB4WFCn>2L7wFXU8?X9qYj>)P7&v zRy~Yech5{tUr9=30+Wn+uYS6vV%j0t3lK^`)?j7)eerGbu+A=Y_dlgk(1$Nz*i?HT zKHQT7^zJ8`b~B<9uW^uAW=?ywNExs7-6nKE5Abdb@xxunwMi2RRLQ|PGoE-q*V$Il zE{J!ImvT#LZ@Y^xHhoLw)F}UF8L_-MoT}z_^IgZl3Q?P$&1T@f+S0od;b9y{hL|uC z4M*D0t7E0T+5^dl2u<;F?9Un)6cn!P)|UTsP67%+D}u6tIT49sGzht z6CS}63n;hKRa)c`&sgG1?#ulI6vaNp-obwpS114`I(DM{hWGwSTgy-M6<0-p?Sh^7 zv%Y*#i>QVWXE_7E6+kn@5ua-yr5!n7t|Pba4z#yY*}MY(e@2Z&0cqXNxsvC7jtA>c z_jpwzw_Cvl$uUoxw9eaVhWH>-xwiPdH9g`iEU_ISoIX%p1BHf=KcDT#*^SQ z5X-T!b&+vtf55*rtzi7dB&)rX#|n(r_Fu(uNar5>zktVxjX4-RK4j=0QkpeJ9dID! zY+f29wpn2?xaFTO2h+DWcwvZj#5u*He}}WnDv0)if}DBHXnjPEEFOMj^p+=&k)nhY zD$Kh5lKuG$f@(*dkG{BekB$OHPqqGO;b&?KNYQDPsstzPBW<1T0F1msiBn#qooBzy z*!O4>{qP{73au2*YnSxl2=86DQ3qxTb*8{=Hvz*EsFO_BgLKw-_||F!S4-2x=>w|! zcJclLFZe)h0LB=5Q)msh>ea^zaC8o8hnr04W(WGIgi*o z1fBK1)g4BYQN&)|6(9e1`j(W|OGF62$|6g=;jjs-L$K5DV&%nJyBCGW3OpVH=J7J( zCF8~wjp+s!)IZX^stY*xd{;(<@(=bWcJZ?I7FC#~*OhiWtU>?sU0?=p`(kMx-E6fK zcclKwY$m)@cUS&3z2SF5IZvd^_cZC%?S^qcw|uOrcpEsdODz=Q-lTOF+M})`?SPHe z08+)%a53c;{o~KMj9316apERxs-eqCvl;r(4|cyB_6!I;oXN?!D83gmYmahA&$lzI zPhtzWun6;m!;u5A9yis}D)fs;%Ib-vGP5kFi(siNz-y{N2y1GPFzQ+nZgkjfKZ0l51~RR47E%+qiZ^KOgrvgjrC(wjpp zqA^80y*Vs(Nq(bOUd@u^?k(z5-k1;lF_w@rpDpDpt{df4`Js^UcWRZCjQ*S{2e@CK z+g7MR04sxZ&K5Qgr;|a!=XA10>18WKqg5tMuGEop6=Rm>i>lN{mtXCw-E-Tq3I=-_ zUJQVeD6zPFlZ*4V+p~>u0?Fk{inNOMIKnB0Os|p%eAj~-QkT-I8QbUF_+8sByTist zVOeJL>8wkB3agn*f(+G|QWzxD%5C}QCS_UQnV6IH*bt?UHUg8sH+9bLFs~ZizC>pZ42x(oa?PUso(a_ z8)^tYXHkcnlTPgYo-&PVxi6L|(*Zq+F<8?Z&UK3wu((g|r_ePU426HuNd0;tEr;J& z_NAUoiDQdy zYfL&^J3zpI5?pN$%^$OTpl{Ec-t`^k%zd8N&pC zrHqsUFEc4}2*pgH6bon$HhVgH8Ut@m9Ld;L43269|@?<#tN`>kH6! zG=F1M!c*4{sZHHCTdJWnOIDbAiT7On!$xYM>Te})`3#m4%Bn41iMeYNYJh*kgkeCy z{EO`Mu7mg_x)wI{c+FchSxb)0M*LOpA*KeHP?ly6;CFRK;y01f3I?3qz5ZHGhSZL} z(Stqd;1hLcO_Ayg6u7OX&-T338LzJEI(`)lPRRaq$Ema-b>*<@7tI;wI|MHKE!r5g z4>%|IAs2%2UzD=H)i;ZrJiT6wk!UKA(8YbgEKz8`T;RF2kb4wFSit4^rt6Oc)W_YaD*Ra&_f8 zgv<_g+il68=6X`_9-tqfi3e96(g&U*Z1pY<7p)HA5{9j=`x5m!M=T;m+FnuOTxKiy3r#yDg+i`_eCQ zu{6mX{|%kDSklV&sXf}0^r&Cx`9yBAGwy#p@Ep_?Nw!A7Dpuf#2N~8Amnb^vBNZ!_ zxEWBZ=*Uim&?L69VjtK%Q4Sf+=Ctm4ZqJo2UqGxUr#>ydCp?Ijl3E&adzc-&(>(RV zZKe-Y>hM1PWVf07?U{=wa%0|QG}Kg-UidCh3i-Hou%aON0`W|S@fk-uji(U6;8DATPR zu}xe`_eey+LEw~k@Hgnq5`s!vP)wF@nKNWH`?HC}Cbv^kfO}OL3${xxi4r*WbGtjR zhm;lx6=dI!YPSA&np?3@sj(uo(saSIV&+8em9Q%G`jOvidGjrD-LXCx92TKxSvJGf zl4h<@n^+|&qLan!zoy52fX8bi3zILY5?QT_h zdd)YLb$L;yha^!6AhTo~jB%d2Y)*`w?sVKI;k%znf`F&upp~BVw{;#z0N&x+p9hNv z#_aE*cbUEH*t5Dn)$*SZzBqkXeF^*q&7}*V;f-kZxhblhrB$ez03-?!_k56KFwF^aXUEsXR13`T+6T z{!NDa=@i7QFOZPSJCD5Tz@Pg*bRKG^g5O7@W^fL`C}CtSp@IGF<-V~d1xIlfI+ow) zW~JS-&zU7tdRtveYVd|5x<1SM8PZ7m58+Tf19!dGLiO`d#6QBLlD@d=Lmy{gF~dd5QzW(LjJE~BJfnN>isa`(OiuDHfYf|rqD1~N&NC8BqR=Mb6?)xO%hs^ z_@rx;un$lb87YMR9bAvncOK(&<`N8w4BgbY5x*FS^!MK;!ciS}T4Qzm8caG7Ae$V9< zGcH>+()I=C%Jo^G&NQhQBQNHCjnAZCL)TSH|@p_8{!+er#+a zXkk)L6+B7A?34{QA-;7v+If*($(0ssNyX_X_Cm1$Wbn{Tfa`R_y4r_R#9<2O}}Ue0g_+|1h?RUAi>=U794_0fCPfO!wfFLEjRS{=pt_2=9PdtmP@+;TT3YY5>W8#Q|fZN?GP}so~{{0ZDC42nF=v~Q>9rYB*&nbV)xK5F(@YNr<($so7 zOO73tE;k$21!+f2MiLEuv_b!h=#JeW<8e8Z@pEi;21wH#701GeG_Jw0~CH@34qTx=$ z&Hf5M^Z+xlkpUAce-PHyg2s~i-t zEWy^etAo|@{3QYUw0#t6`CR?OHeGu+& z1U#->(S0nMCXOWA^ZEC2S5@{7g)Xnde;cFN4QDq$_Vb^#KzlWsX*)-_u& zV6f01?bqnEuB1pVXr3ZZ(#`)rL=$n%)SiLJMMi&-p_vC6%0khnE9Ls z{t;{Mxd@CwQem8dc5ca5#IWs8Ou%)V+k*=XVx2L+Mr}6G!z{FO(gx2Yh*zcf5m#>F zBTHFG6{k76ocjm5(lbY4OmLFB##oMfT(jN*+zAR-!@FdGihPgT4?0Q% zRZY6!CvgsR1w$>gV`A&S^d*9t=K~$7jQB8pXEau?G?`BZ&EJ|1=FmBgsC?S<0C=1) zz(lDrtW;7jCWrgYi$}eUFn)IJF)#GC(b3v}%KZHO4k`rDX#-VQv$oz8W1Pwr@!|SP zNwBfHB;M6SNjWeqq_Pir0osYEmnVK5qTQ;}{vTt63|jkmcVplO{>J5mCoyKw~av)8SgL^~q|q#cOf1LMLeBZz1=OZ!D<1oXBoH?*la)3qG;gDm3h) z(LHxE$Z7w=r;xFXEG>4~2$Ok)z@gVHl~XLy$)IK-W~=wh-)W~@LT$cGpEdebHlV)w zJX4i^=NMk#%YE|8m%F?Ky^Ujw4N7ozI3bwPuI0dY;#RwM@Jjm#sY>TvO3JTpKO>|A zF;VOR(o5M}43^hX1-_8AbzcbMMSbZD(?SKqt~S~=W^-`lOWIc@c}td68=wa_M_wr) zt)ukVh#}d(9AIKO>t=jw{i?WV4%CZT_#Os2H@|ZXZQ5^Ql73%MF3i(+y$keEpsDzk z{Zf-F!g_(UH)82~%ES+!2mzJg6OwzL;j3yrN`q7j*EQzt`Qm9kCzrUEFCw?+;nr`t zxz7u7K5nK@pcE6Divv3v+&8FtH-~t*#WRE0dWEKv@(ow7Fr*@8f)|-_TC48wg@;Fe zD?FR;cN4=$SN!V0B~xB|a=tFrG?EJf0hv`gdZOQSOuUs%9kZB~bDnG2^7sEoKd6PBSaCRl8^g^1B#?Y5ti3g*%U*MwYbZ(ZXb!6f@Mp3yn- z+V;JNtHcN7mtLE8jld%jQV%Qs7`*jI!K!&PDf=7f2F(k<;ts2x)WD89g=*k+L4BIo zzET`or`#d=%mOJ_(ivC+G4}*ZQ)h1?2v{Sj2;TZ=ZqeG`d`$h>Nk9H&l%`b+Kd>sMOtBpWG!OU46iLg;_;LnL@^h1?k{Cp6@v~uQYj-@FW1-2$=^CQUb zetYCanq!yW#&dmTl&3})9;c$W;ceh-<5@V)Z)-Llz>M}V^z(!tC{T}XN4_D)rUvN& zApF`|1clIKv6B#xi7@;`J>eM%gT@<+7uEp&h(^hQ^->e#NC4D#)(OC;EY>{z?tm)H zKqgqPM}l0Lkhr-8{aC-U2r_-Xu(r+&G?r^(pq~nU$a0>i8=^oNzd&xn6M0y0F$BW* z#kk;?ADn+FNW4ZLnaC}f@RsB9J5i4BpN)`Uvw#9GoyI-XqGV(++O-O3xsd-R#t6Sh z5J-Ns2MrnwK1Kd5J^$G?=;B)<)c~17EcdeWkb?sc8^~a=!l-NCmTp3CKD^H_+rthl zOgh7n`;bSQ2JSitN5G+{w*X?c+%GY<;;71%n;GGqNlI_o!^s-c#GI}>sdjgtRT<4$ zV<*Z{GHopcGI6vuo8hX41+Ha#7(S@6Q>#1*w7LhrXL}&aJQR<3+<*Xuy$$}FwIyl(tXflJ?NIZ4~$4rvao_?^>PA2lYGY*|XKd>x=kAVcqR7s_?U6G4vbWr35dXp;_tY`GLIuWVM&7R|o>N z-)k0{zzJ2f<)7rxq-e?=otYXBMBR*ITk)MA1lf}@jJauxGv(Y&8A_zMZSr8id9!wY zH4obPe*}N*0^kGtkm&*Z0v8hGfjKUB&PZtIV>G8C$jwZab(wbJ@NC zIG5=AxvLi*G40JHeFIS6r=rr&)8Telk0X0|fohx$>N{0;{6Uzn5wDc|)cn$RwF8Yf zUi{$0zTqcdet_GSASp3_!Z!t>R_W8@1i>pTCj5%X28G(tne#&F&zIt)O<&NkjSFas zV;}QQN&gnOp_ea?>4HHzj|UG!67c{@DB*>=p&|DeFqP@V8z(inqM6{L>peNU+nvcW zOc%ph;v{_-Kcbrn7VEwen;pitzDxfGe#jnu-im znKQl?Vw&Qp14vDsR=kX8L~}j+XiVygAcrM$)c!E3dtRygvIA+?By9GE48(54bAeWk zMZ*WZ8f@o8ImHSF#fZsPPdC1h7hy4;<)i4|G#8s2vP-|o7ky9!dW~nHXW>|sqJbim z_=7aAF>B<@Z;hk5f&5C#LCh=eO-7~oFCLdaXbqj06K}dC>r5n$PSn5WhfOKZ6Ogp4Cdv-3DU!32%Ow$HxzIE8wKy4+G4 z7x=~UrgE?U5J{P$_I)bsz_ZYF@fuT*E3F&V-b?(~sHOb*Nx-{B>oJ)|BB9z8YkmBI zppE&J-1j`~+2bqCy@7T&1|Jje{zDAVT6|Qn?NK*&%*S&xkzc(JZaOL6Kpv!c`MPKM zPDdQ1ZBGC_Xkn;1W=}Ice#DG9mAtx1Ay{kuG{S>DZXv#a5}9gjHaT;kDd_~Gpe7^r zfi?Gn{|~%LJK_~@PDHI=hy=4SC;1I`h<$J zr`afZ@`_$Q?3bN=?jT)G)2YJNJ)cQlg-w)xAvCUK|Wds zpKQ9X!f!e;M&6PX>ayIZqU3l|fPNxBjGq&`nY}tuZumGtfX_E{Mbhs2l2Llv&{GB; z9#@OphK!$TUf~ z*2*+78}-jxCo~HBH(*%QlBdL%?F!MbdV11Z*0E30r-*Ud3la904Bf5GXr5@kr>En2 zVP2Xxt8oOuQFql3-+!?b;4JuM-j+79#s*8D`KJ_k|5W;O%h|a?zNFgw3#%6T<3Dio za9Y6=n&6QC;5ZjPZn5D~Bfo;`3J>&|;5+Q$m$~coRK!EE`dgOkY*l9b&2%x**Vf{*?SlPox>c$xw2uJ++a0XZA$R*$8pa&98g!j ztQ4H^#+qBwtq|?q~h60Ne&t8~5OWUVc5933Oof2Of(3$JQz6o<>CMYzK^&HtOcu zA=5aHDFvYMr3l|1y(&8u^0Hq=^LX#AM>*lQX^76O+ZCBg2x?kgbiSC0eV?GClvYy^ zH66*OUOop0L0dJge(u@Je(4DPwt_D;NX}JwAC=jz&McerBNloN>!~pCr@xJ2)e-JV zqp3`5HU`2e>ZwX4TPBssp7Y1Fw~jLi^)Th05C9=&MNZwiXpxPDB}IL6s5j)Epjzlv zF$#DGpw8m55G8(rH@{Vmr=gI1LT30i8^y(C_D1L0f=PVX)bm)Ec(RGCO0P7bP7>W{ zFqx>fnlf+ftDWLU3O?dt%29!3SzaMW0no?o{!+`(jcFDPh4F#E!od+pmn`G9+y$qo zEh_yQI{KZwsj(>YlzJ?8qIn5QSiR(EG3Ac7=}E~$U>YkSLEA`wo#upnjjO1zl8M50 zyxD?upgzfAwKMHq{alm1m=u!I7M`K0On&{!Av9|o&FyC8hi;6M`+pfRu9?bqCZ_FR zMc??bV_v*cRM#|*$7!n#O~4FWwP*G*pS`OE$w^MXp`#0lihfNXOFrgWrJ&{oyZzpq zwpgd{2k$ngKciD{gO~42iYX#$$B>YHOASFa0V}1FApJKmRu={VY>|f`Bw6%pOBs(=N#MDk;DE;09^+ zyCdWMFj>mj`eu^hpNG!RXg9UZX#ebGoh!AILOEpdhhvH)7kVRhj`7xDt(mkAYh8Rz zCM!R7w=ivWCiG_cZP8cz*7H#~+KwACFP0+qr?nwx%oI>ei9=B;F-;x_QBu zLyjvgd7*lEn^QJeTK(Pe2-52A=EwK(CwooSODTdVLlx%gwWs|45N6O@i zHIW(jPt1+EOPccWj^p#US)GsL6;|7JFtW`-*O#U@AX?)iAk(6?E@UapUnz*mXt*h%$+)}1!tD${D_H-%>?@1 zi1n`ZSq>D# zQCMBAbUYr|rQH%6(ez2}s#^#6k@i>VH%Ees;F9lTl6rm3w$jkXRVHN4u9e*Q{gsv$ z-@FSbWr`}Za^D9nFjOhtW@xix*Z%mC)s7@<@+g=HNsp&o@>mDez0WP5?hK>pua%&bjVenN} zk~#B4sAzp)yu0saQ(9uyg9-R9KpQh(z8YUWZhl8%pPM}7i71s?FGEKL=7S!TDYmEJ zQ524eu%pg(&@M19fGZnh+y0{T_gYVnp9?D6&B;5= zSzB{TT>LkYKe4mSoT2~FkR@m&{rdCVSThb|>*d zPP*Y8?=da>FV`anS5A5uyF7o?z`gQc@ z%V!;vlJ~r+0$%Z4cV;^;4tBDTx&c|=x;rgyVCFN_Iig3uxE?2C+t`-FSFwGv8zvPz^`$oHk_nDLyUE(p zSy)pZgFF%BuF$mElq4U=e3Mf=_+>_0`b)I0AFHg zeq+Y8zM{a9uY2mD?kiseAy$$RxCFnqEAWP%F`smP0F{;X#Eqa*?$Rg6}?bFP7VmQF9Gbz+yHl|qR zI%qu$Tx>92HtSP26GERy;CaotI0m-<;-ZIzf@b-iUN~Dm#nBMgB!ZwX42S85!VcB# z#RdFl10)I?<`LNjkA>xGiK9EZzy}+ZN<2_gm9Va!0}cGOV)uT^#NVa~%}rpTPPXe5 z(Vcc`hNo4zCL4tEuV^1;C~Emjy$$l5{AA#gSk7R!GZDR?*Ejbe_d8X=+iDK*U7-tG z4C0Ib6heH2dC9+tZ2M3RyK6vN%T&cBXT2@wYQZ z{{e=|+Qr|gJhLK#^9Fdir0^M@Ax)})yqE2NPr#lTgAs00l?FPiLn~ttPui?h%>v?2S zQqMGp`K?F8nmYw8t$XsdHOyiG>KX5}aN`$%Mi=K+Vfj#USa9828!8ZOsb6VvcV7%h zGSg@g_wl+IPFmf}E9inri^7r!jyAa7v|NKk4?L>AZ_u|bR#T|(eM#q@jo)Hun|$>D zyYM2E=lsFiru`5+C8^n$>PyM&^)x3QFrI0~$+frl6aDWxA1~3sY6TFltNM7U7$8+3 znfv%(#T#1i3JigU>7oLoKnC5~dYw@^OSA6f_x}YE2M6I)(!Vo|jo^L2=BCqH5#1Or zTDWjS;zIt_L{_fG6kIOAZS!UiP$BbF^2Ml9)ab5#I&oV5zItxImqVFCgX;mHdTk>G#qIPC;)nvyjjm@2yYhEZV)(FMU`h40? zz3+>Nonx!E-=ziv&;|x_>^$DlY1@?+ddF2xHi2m-w|zAQvtDfr1(Z-+r@0`jrzxjS z?mD}EU43fxYwDY%g0*l~b>RbAJB<5{Us}iP1o0~&;lM^r{7{t|j+)#}?3DQ8IdejN zJ!S=c@#h{|wqSD)mVRZ=z^{LU1*$7-%>}cbccB@dvBJQHt77!&ILN@g?j` zxE)ee>li=k1ve=!rK@a4^ZH`u7SU81Ba_Jm4_~A^snSV&>eqXhIK{(~6T$7PCxE$8 zk``z`S;}>|#j7D`!o$;1pPtp}$z9{gbfD*sj5o{rt*S}8>ngQYPC(qyO^&`S8Y$n7 zi{aac95XkH0^J@nYwrilEzawQkTGKsAxW(X%W~p*Vr4ZJ$OF@o>7Y|&M{lv{Jhz;C zO^l|n5FAW096U2wPI7IIf2CG@$FD%jLh-u^YQ^+5vAX-2nBNGiR1mx({mf@yM4{^k zgi&G0bokC?FWYujXcH-o2dcH-{N?;lQ6w4&`HHw%b`wQk-Ph%nrS;r9U$IVaNcrp#H9qNU$30U!hm?;VO z+5t!PaYZsg^k78hS&^9Cyz)9Ms<}~eS7Dtq$Cmk!6nY6d>Gb0-BOHItQ5j2?!{qCi zqZ;ZL)bVAoR9iRA3eU124&ZimIVv1~u>?w#Nj-m8r1d(3;%$E$yzivuM9x|Bfzk{N`YFTqc5b zyB}P$7DxO#F_cqssNTecwaQiK`a9Ssg?i)ydO3k#^M>fDQpUi1ip{CNgAAhK(Pt8S z67@p-fuHdQMqT#8Z-Sw`mYaHP-ijHHR(5j9$WWnp%Y2D@zZ_jz4Cn4~e5X>)Oj!N2 z<%p%SDR8a4zG4jk_qRt;6Wq2d$Bg>cZj&~=dkWRx&S+m%gg1>_)(GZZn$B`u{T8jF z&7heZs0p*$cxBi3cw6?X2d+Yc?KsUT)zEs+MrEV%!!S;Yr2*9_aw1~0fA)7GdK`tB zZE*kDomrmqC1p<@Sfsh{tjtpx=0504=3(?N|BpjBcyfH~^o4|viaAN0Y5O4nHg$iF zzA2YfF$>?#jXYM&{GGj}LKE-!?4GU1Z;A>~A2L+we+uqf{-^l$Ki7u-8}k02g5dwr zlmF3^|KHTXZ{5w*om~9fcE4LGwl^+g7RJSDrMQMyOKBDKI*KvkoYz(~RDUc~D?Qcw zP?24+k2|9^Lv}{5rBkFjwBsXGQB{abuYn}e;{Tpg{k8X#vcBV+c_Cvtn#gWp)|k~B z5B%sv`}GvadCZARSKz_9hdlCO<=Hx|xzPSDxA>pO?YH0KhO%Emu9rw#LGS;xWr58c zksC4q&L&`Tiic-7bBojges2f-i9$<%tox@Q72;1}yg#kokLU;gew)?n`Mz%sm{&6Ye{a?9cV~?OaCC{UgOSPL1kd&Ir)Qo~g1nSqV7Zov%R6 zq|oJ+GEia>3qZ@Z{(@7qlf_5;Fw|B~?PqUNG~~%fOCmBrbyDdHjk2=Vw;i*J#$8}1 z{xA3|#@r90W0F5;y6XG1e5!53dLY~C=Lg(PgeDL=(KwsatatzhS=7EwR`SkVu3tTj zU#(C_WZ@g&FB4f}4&A0E=8SdQ+i&O?<(%IPi>a!rm71%QeD$w;Alf04Ni$vYt7e@8 z?u6smhVX+xcw^~x{)i(AEz-@Kbhd@>&Ql1JVb;f52pN9M+Wj+(O|74PJ$`Z#kz+r0 z)BCf+E6->yI=cY&n-uj^^2PSb8pA>fD&rH}KQA%`Y2Z0)_b!9<`w`8toauS@b75x- zsC4Q&7Ts||I0@?P_ z>DC9_u}^yd!koXX8-HLJVhc4IhRN07IMWgJFMIa!eNF0b%RrFq*o2uzL<4Wg^2XtM(h}Z_m`^c{qOS%QqbnbCLs$0az1)@0gb^{>&W3oRXopo-I9> zj;pwZbd9!flZR9VM;&lR(qp?y71EGq4Y6!2+zjFMd6nbz>8~DGQI!fq_Iei$+OQ8q z76MIjHOuhbrx-n)KcDbT2q1ZO8=G&V{GID98ZA#lEq4v<8U_9k9cK114$hghkDNZY z^Xikw!Jy%lfasZHQiPwK98a~aQCz|WeR6y9(YDjSA+^0zR*xBVhHQI2=&di|M z?S8N~L=?ZgxXErlTPs^_iQ2b1J&m&13`^T z%Is$zfUD-qQqLY*`>n#58uq2~1_G`((oHzh(bF5k+NERFRbL!lkB~$^sG@_4Zkez%F$!^D z*>NMZa1%womNMF^--ks6XX6hI8TZcYB^vTGdB`<7v{MWlsj8hSI6r+DCfWe&xr2U81Y!V2o1?&1kYv`(_2)hC>KfjlViVs# zei+&Glm{*{rK5k;E7;**bRa8wGd;YDA{s$8J>(B{pS{%JN{wyK$iXo-x<4uX4!>Dz zM#(libz&#Gx*D(HgN&^%?H0r_mzWMEPnyH}56k7$y6M{HQ}wQtJX1VqL_9wA^^b{j z-EqmrwYGPhPo{FUC+l4ieUZ`S%?jq|Bzr{6l>3t(29z2`ayvb<{OsC*M#MtTOKBF` zVoO|5Q3!~TI!80>eVkWuK~YRuy1ur?X1MxQ@YV)S*9;vUqxlJcVhZKjYmU@7u7|md z$~q7!E-K0~Ykuveh8lZua5TdjT)|1G0)rgcN_OJ97MnE-|EjW7*uKyuzo&qg_Yd(D z(vb9PPr20%JgRy!Mwv$i9pq{@JYMLKw44|YnpX<5vL@^_<}J2Z#v+u*C#Z)g9@43_ zG4@%+Svlp$e-ty?!Q1Kwl4`+ITVgC@Q4;X8gO`8>gbzt3Qk18vE>%v zJW~C)1OG_uJU!X?gJ-G@3)Z!d1`F0zTD3xs2f+voV7O~FUe6j4s5dK>8Qd{%w+ol` zGoJLzN+yu6Fo*TcmfpTQfK5*K^x!~mgzsQ4r7CQ{a}O%a-)Js%EYPe^SWDi{G};X^Hvz86J!pEC z=ZD!g#~^I2I-(im$+@=|dmqa$+{aC4Z|i#TV`dPBoL&);%CDlfruMcbujGoKJ0)=1 z`AxM50a#O~8V^`Dis+!HyHziLhpfzW`S4k-%wYrjZ+ua^F(kj*291LTMRM_+CH)f{ugKxXWxzauj z6?v5H>bsb2P0f19GV|;1&l?hvBw{nwr+fC+ou_2l%n@EA8jU#KIWm-K#LBz$wKt5s zTKr*WASX)y7qm z!4=f7i$vpQ&ccljB=a{y8+bbPYZ&{NC;1*%6({(yIP)!|hsA*hD+<;{Ya=9bULS^< zrBk4VAGi;?o|mOkog+LQJ>0jy>!4(2Fc@L#+TjXT$<^<;42)gm;HWGwc5K5qaf9JNu>v-~w`GHiE zK;*MAwh8-NwhS9&z%_y~Xo}fE#6be%IWntRU&0{0&rzUFd$mr{^{r8TwF}W5XW?UO zqNWYb;ykxAgH>BRyME{jek2iTR^FPgf5dUu9fP5q<0IN8 zA^j$p32ZSzncqE__U-t#z}jC^p%U_)x080C&7V6E0_&TD?Y8JpY$pd)-ZU-UowQDy z>Il%FJOXo;n6A+`zTpcbSP+VA{lr0bvq!hS;7$peJJbfas9N(&@7_s&R(kXZgIro% zM0I7jYns+-9glg#aqE0i;vr#+o^7G6E z%b6o&LC-Yz+XbRk`MU4gP4l3FTIQ)F^>CCD%XK3>^LQpB`vbO`{c9AJ9xIP8I4*k< zkFJP;lxzwI3Y9C-bGZ~AeQG;>;oaU935Td$RhXB;x|w8Rl;+bH0#&X875IT2V#PzW zHcO$_ipr}c=6mM+(7}W1I>T2@y!p?W_?I9!DCz zb?ZT8!$0;if#v+jT<(Nv+?dE(@Ps*~4cM2_fHuF3&Eq*)-lts>8UpZm(t+^_eO&ZD zpyJ(nZC5JG>%iXtJQg7JSjMR&29WxuRGt%PG=R#b2BoGQptZ85*;`4U;Wr(Qh+`8iyPj`>@%vU?Yf5#83Qn4MdFizo1U@*4=TTGR6v{yUpTQ-1q+5$xO{g1PnBcAq>>cVT8EAJPEP9MF{lO8TRH zkGC}oC-X(Ltw+;RMdWqcFKE>L<1-?Ng;$ojE>4fpM?p%`L;E=VN3%3Njjps@o+eaN zoiAKflcq`?B935|TWXEA5=ir&eSiHlUsAjO^P+YAPlE2L8$$H!lUpd!Cg=#-JxV6B zpXNOw<)3FVQZ%*~6EsRc%SNVGJfT}%>_#-$cegO(4n(N2JW#1Q72~{Q9J4Q4oaO0X zd3#%c3+h(j4oFs)zN5JKw8I3;!9UNBm?UQSw0j{l>qWN0t$`gh$7b20;kzTc8KrrY zTBFjdltu3tL;}Y5CAaNkT(mQ|~qZ@~J57R$i>;taMKw z!g{{Nb@8c}(@8}*jchka0ye&UckDTSeav$>DB=PG_}FgDx~Dl0zhY>c1OK!386gX( zjcQ_B)qNqFI_!CCQ95RE2|;zq>oC!SUE>kE8zX5RmFLi~mYcP9q(38J4)!d;{eZht zd_^4}K42;s+4|gW7w;LkWV~g^57p4f#JcfaF zS}~c3#9W;it#5AC(n8lMbq2M4IT#7gsoPh?<4XdUORrP@E)ZuN!65@d0Uv2MraiX#xJvz>FQ zO^emc#OuQM;mh%^d5IXoIFGWoj zj_A_e?~{{?{v<3odBWKyMX_{?nbX1yFyk#V3|57g?=)ZE*6w)r zrMJ7Fl|=mGh$LgGC;Q5t;pwC2>l<$s0a9F#sgTdZKy<%V(>S9ftvypG##7r4UuIaI z9x#2neG9hzYONN>;qv$*e460w|dEJj!KRd5n|@L0+|PvvMmK?m&s*s2NV(kc0BUUfx!&+ z3%!B}^`VWMY5--}Q-%8DH|6@rh&8cf>~RKQGMPkdZ8M8jwtV>zf-Nsw0=IbvE5a8c>V6+a{`e^fO7l#J(ulF$=FeZou8qu0X-i-- zi9BpgJ&@b{pY(2etV7_p8CMxvJs!BvE!v2Ior5Fbpdze48o3PoZe{55(UW9OBX1ZB z-eLJpXY_{O`Lid_E|Nvr#xve8xX+=avm}5gO@$VV%K80BjdAE;D6*47k zWMuSJ+WqWlk;j`?l15^PxL=P=SUh@gX5FU8GKyw0ewKDFV_vkaI8dMt&5X6wYli>$ zP~Qf2{>F1vc}a}U&cSJz+SAZEGdSpF(pA%U)pp4(|8u04B|!M~3$y+4a1VG7&boav;~~~0z3A53r`MlBalGF&x{zuTHxo3pCRrG_G0gKS`~I2oD6+7Jo2l< z_k$1w?PNs$OL!IS0=23=4MoIrgDs__FvdYLkQLIY!+Q!H^TffmLFraqqaCSLcGEg`J0w3N<`wD2Q5I%10#LJ?giaCk<$b%2a`hdgv{WRr=4L!FW8@) zCFC`Luu))wWQ{g`!LA{7w<=wK*YvvY)siL>ZRi7{NI~cj>&}~(H4EIwnuf)e4pyZd zM=_HoAb(5(H}<+`T(b5h_{6ByR^`AqLE=McYjb()KSvyVi5+?>qFt2JSN_QzJ-p?! zC@aD^ugW4d9EN0C*7o2N?@IqWmao2#pCy{=Y)XNGt?C6m6$v;PgGy_6YS8XjG5(N> zFX7-rIIm{>fC#PLTSiCKdF79aih~(oy!+HAY9PkJJ1s7*BRrL3b#0wvVbRN|<%MRE zMeF&8KvaBUAMZzDEuUbLSP7pZJFl)9_REVIGF*r!f^j3lcRB|)CI>CvlwO#d{p#)> zkl((zj;171zi`ajkMtd=R@2(vtk3?D(b%|`3O~Tfs`GSopjvRw0Q#wXm_!(p^X(i0 z)aKuR{%Pfcw^A`~J#GNriFj0-;RKRha=-wH5WW#@SAtoj!*%_x6z7m-n z4_+h}BjEH9ABJCIrV<|OJNhp-n}zD|2y9CrX!xp4GIaZ=OD#Vw%sE>B&3l+RK@8fK}p+|v2p5O&r~NhRm7BRZR?8s>+3zt zepLdx#_}7`&2^UJ|M22?w6yb2`@}vemqq zqFa_xp-(3qZFSTk8V4=1?-EL61*RU8G$+UTPpovn$6(!6kW_u{?-RRg={9|Q~zfwB9-UprlHp?Uv_cx~k!V#fPYid;jF;z#gD N`n|k(k?1F%{{_Q0!?gea literal 0 HcmV?d00001 diff --git a/decoder.t.v b/decoder.t.v index e0e925f..fdd52e2 100644 --- a/decoder.t.v +++ b/decoder.t.v @@ -7,10 +7,12 @@ module testDecoder (); reg enable; wire out0,out1,out2,out3; - behavioralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); - //structuralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); // Swap after testing + //behavioralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); + structuralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); // Swap after testing initial begin + $dumpfile("decoder.vcd"); + $dumpvars(0,testDecoder); $display("En A0 A1| O0 O1 O2 O3 | Expected Output"); enable=0;addr0=0;addr1=0; #1000 $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); diff --git a/decoder.v b/decoder.v index 17836e0..f5ae80a 100644 --- a/decoder.v +++ b/decoder.v @@ -1,4 +1,7 @@ // Decoder circuit +`define AND and #50 +`define OR or #50 +`define NOT not #50 module behavioralDecoder ( @@ -18,5 +21,21 @@ module structuralDecoder input enable ); // Your decoder code here + wire wire0; + wire wire1; + wire wire2; + wire wire3; + wire nA0; + wire nA1; + `NOT invA0(nA0, address0); + `NOT invA1(nA1, address1); + `AND and0(wire0, nA0, nA1); + `AND and1(wire1, address0, nA1); + `AND and2(wire2, nA0, address1); + `AND and3(wire3, address0, address1); + `AND enableAnd0(out0, enable, wire0); + `AND enableAnd1(out1, enable, wire1); + `AND enableAnd2(out2, enable, wire2); + `AND enableAnd3(out3, enable, wire3); endmodule diff --git a/decoder_test.png b/decoder_test.png new file mode 100644 index 0000000000000000000000000000000000000000..fd788509740a839e9e0112691116c293d12f3fa4 GIT binary patch literal 46781 zcmYhh19T?A)-D{|Hs9E`ZBK05wr!h}Ol;eBGO=x2ch2|!-?{f$-K%;l)g$LJ*WCkec{zN?azkA= zjchG#(;N682-g6Yarki?1R4;61I6d_h$4LoCJft+>cwJU+}FL)rk&OqrPgvYBmeQO zq1n&@MlP7~;&~0KH=V=v;(jy5=X^YaUzlIp`a7FD%_3=oCVVr9wu_%531DRWUo0}g z4FYgtmGzXS<8qHQ(x3xqp-DVM-(%0^w899*(h>o{NPc?pwl@C@KQ)cEEd=I;#j%^L z!53@xLQ9;1x|sf7@s4jMX77oj$hsViQ%eZ!{}=dTf@t6-nnR4TR-i&@wHo0$%RKPC zJD`HX%YJ4Q|Id@FHLxJC!UBsVOWu6Z4o`?b9=almB$>;9HVM{O{}-PM39{#74Wj8e zvL?fY1{vgOrV7OM|HYa*R%ztokst;bqf2t!)LIK|v?QxMNlnoicc~>w6iF2A!87Nb zmkyNh=r4}9-|G$kmlHE1ERYClEX{P8L3074-Xlnnabjs(m#d_WrkmySHJ8~?&0K|O zplX5H%#NOdZYzA_%4X#kBi3*A8@5fF=R7NJI0--KQHX8(pRYYgD1-;kyak@RM_AQ~cXilc~3cB-nrwoE+KgNuNWT zzg_x&KDi&0#xH6nT0H;Dy)?>}+%NcWqsaN{`@jEZ444fLLVf(TF7pCQd>=oAR?kDk_KATNb$_CCyt1qH~o6kMh+C>Wg#s$ zdOmLxV%rRfa_aBW;3&dbe+iW>7iDctanLv%<(%C+Gf6>gSD77P%Aky`68dht9BlCa;f!zmGT^>C*dTDjy&- zoc!tNzLoq5aGkQ!BT@v@_LOXF`n^~C=wYwuT>K>AN~i5R?|hSEhiMj zH_o4)XzVM=hTR!^s#quuql*sgG*QkhBJ9`#E1XhrC=vuQ@@b01>$?Kj1^-%lhm2_xvb zZI47pO+?F&-g4b8D1hoRyI`XZ?++89gr0*}MGcLBiS5`>0-9AtWduc1#uFw!@e@X? zI`QC**hxC)lS~PB0^lVB_wD5oZ}puTb4PE4D%WoEhtFj)661mCXzD;&Ysx$MsC0QG zV?k$f((XO1pb&Xk?o$tvOA|ZeX+u0Bgft>5dE4{svH}`4c38-Pv0zT3Ynh)$Nmo|{ zP)b6L;B{iESgkcA!Uj+Ug;hig-E;vj+mQH}_4v=8^W#h#&Z8ou)5oWqB*BYwSb5d+ z*cXy5{z{eYN}AevW;ncW|ILXHBdmKo&_FA^2c=Sq7nCMzge9Ks=AtW+1VG?*Kw~kV z`5w1fV;ya%GGlqKX4k8VwU#I8#~MCBIEs+^U};hy@WY-XNlC3S9?x0x;r8asV+9|a z_ZoTf&z!N!5-#l!H+@ZwcRpeSAJ}nPG_Bp8x=%s^B$Z|ha;DShcZ7bS|MB@TtI67m zpYPTcR2YGKWV*P($%z{omCqs=NUYKM8K{Os0r7cmy~sdkf6?4%QdM6>`BNS`@86T^ zEPlA}z6AdFS5OvywkG?9$o97v%bBKMQg7YAsWOor96W^P0w!^syYIteCf1h=L%bHjlB^)^I(d1-; zveO@~YSlCS8wM1`u!Yd^Z%X`_X(z3CcH>6&@s^e)+@*#iD1ahxF*c02zrLiu+%5)j z_;{J^sL+Qn+Ban<9_l!A`VJhIH_rc7O zt=bm6uvJ2m33l&>l(3poGB*YYLAhgD=I9KN$7UFo$CCSQEo$HmX&dRJ2h~S zc!vV{#*J8|H#A$4OW_0`=gsv)W)6d3K?<3(xe~@-M>Ch-FT0?e?_K}S3^HEl8|SL{ zu=!km?L~H0TR{;g9!)l5w(~k<&aV%a<`runRpe18ugDSjoM1$wKOl-D>N_cpUoS$kT;@n3PS?9>?k#)c zk`nffSEg1Z)bj>NV4*#pb|^{VS%MK3tYP~u3CEK}8Y+=wRA}yZ31}&1{H`e$$^itB zO!TOX9L&a+KtiiLyLR1l{!#on4K%0-qmdFK7Ga@8<0c+r3%-7E{X)~%TcXL_1g*jJ znwCTGh=EbI05Zt#&ew>8(iS63ra=-O-Tn)iQHzz8<1f7wQ-G-;X}7FsMaAIIJ~Wc4 zm%C$fRkqz?QJRb8y0)^haExQ`AD?f1=I^~>PHP%MvRv=%Gs8L!)EFm*2?z4zD7(4-^=F6$T3CHR)Dq| z8@X90Q_}1k`W!xA z+TaHrgW*;F8#V$jJK!RL>~n*peG&P+s$%hZ2ATetOOvzooBIXGodZdQGTwcIdvJBf z)dIUi^ERYF(KoA}yl(8-F=)J13e@44I@5j%-j`2W*;ZlGss2OQn!P%qP!~CO5+EyA zXxLtl{1txvZ~>Osh6JHI$8_g$;_kD3bl}*&G<2W$iAma3WHJL!Y|qUbE*}$rual32 zz{Bg|&x_|W4J;%|Jb#@=Zobz`5ZZ+cs~dwUw)lq@65*~Obp4)b=bP%j%wtlccS(zO z9fwhHS?OBS?+1kkDJMV~ovM_w69JpFreUWrSP`gY%IMl|?l(Q2_cL;g8(>_c zJwQT6H-`VZ$*hO}E#A~sV$5JYSAhwhh&8)c_nWy{+TYIa3*$X`!mn4aFp2$5OOLY{ z$gOMqh-0SY*S(65&m_+Dh7x=U8&^2asKU`tdn(QLdMLdI_Iu|>+u5z`{YV`7S2g}= zsiduFEW>=PvT7K#->ct=G%2?5L6tbv)F=s|D7Irc%%I`|5GKRN9<`O}}83=e7aTaEEA(G_8mOHOo$`k z3>#fNai0eX-mgf}`HtB)k2`r3&4ae@Kv=B3G2`AIJu?Ov;_r$brn>t2H!@>QjQzZT z&fi5B+`|oVnGr@6j`n^+fs1Ob-VT?Dnh|d2Sj+hGt{a&5k*vts!DvQ&O`LVRo z1Mx){m#tHWc~<<|mE{_`e+ppT4BTSzmxQ$3SiuF8|Iy6}VGc~u+}v%#1sv*MS~tk# zN6s@XJ05SmuLd+mI#GRMNIZ9FcU{gP4_ms@Ytvg`U&<*5;-W;>{$*FjMfu#B68_Aw zEbyZEeNctHtVSX%<2I);$BGaT z;{7yX@jKugzbC>|LrZ{~fH5Mj)Q=A#GkFIzLcW-sM$v9YrFMGwZT9C2rv%WrvAs%V6u_XIJgY!tV0`E$mQSv(o}Qd!N1`8X}?P9^B5`6ZY3S z=S*gn2oXv%o2}9!BkP$r3N)kJ?O7KQP+nsAoD9kw8)H{6r%wTtM%9-MQyW=U7=2dW zd{J$n#$ap(HoUS5Zb1ca#M%Puhgp4msA+SJQvL4*pColy;1n_%5fcje`@iKdJS3dwxq`<}-SN`#k`N-%dEbV7s)!*KFTs9)NQ#>UmXqP0 zS!toL1#)(}sj2)zCL(|*%~HfyEw6&vn^W1aE(I;I!(*R-wW#_uVnaw@&^Y-u6TjZq zb2Hf7kJSvEy021ml*Tf;zymrE2vy>0J|(5@bO61DG>v3^Vhh=~Yz?GY8mP({WQ071 zFG?66w|Cv2pc6oiL03sq};6RvxYcQmv>^LyMG;g?6it*1cR%^$m)!WM6GL$6%dr3Y#6B&F`%nJ^+cq=jr(V$(5Jr zYzcUmbyIo%?k=y6GvI=BxULTk7*6}yoTjt4C$Sngc@;D9M<55~Jc*zW7|HVA8Ng?m zxQlxS{b_T;vn*EFpL0*Uw;Cp}mcrQdHB8Jp)q`aGwn_jBXno9PJdjGG$a zaPe>2^@EsVJ^|L3MH#)4Y8yrvcF z>)Iy1^hIDWqPudti2*8wGCn;fCdtYJnx#1@rNaG3DHnXv%H;P2wEOKkwD*@m+Wq;W zbN^nS6P$I~RXP(X(!s;1zTuLuIg`UD*`{|vL^kC^zDMA)RPt+j?L&S}B%12<-Xsj@ z5CI;|yAg=@SM>~)! zdVZ}uQ723NlH2-yawgXI^ZEQ6e~ziGLAE=VIpI9`pZ&pX`~Bf3>KKhql!Z;v7 zV*d%TP1X)bk|Q6d7f>Jda$?UfjWjVpA;-$X#prrv?{9_fMD@~^h0ywhsx4aT`HY5q z;pe_Q57FHD;s(KukthSiuoF0AA4EvgH&A6%HLD(TIiM-HXt-Y3>3cyn|E~5}VU8rONnX2bvOXylFv=C~XUA*0dHIX;JYa24_e09y!wI|@ zee-tv`=(KZqSh8${sAcFlq-sni{rXlwJ3*>?Ye8(=&1o4NA;(NsyF?azE)767)qx# zE>jlml@;6?%RxaGv*aaQP_Cqk?lZ7wCzuCVSg*^f#Q#oke;eH7bgC^!B%5{It9Gsz z-nmm6pU>IRHeB5sbfl*<9556E0_*(H6rP3EsW=|9kY?q9OLsok($Wnet z-_?38WQGz^=&1^f^myDU z_BagsZWD``@(nvllejf~Wt_h1`luaEBpbVZn?pX&g&_yvi6|T`3`RQwyI>DBJwP&D zeRA(m|58DRVA%>pR$$(*BjyZTP13aaE0-geu4q$FH;u4#5dLV0%H;1bLC+=I`;;%c zvk}4(Pfwp1%Ql&*?T1q4LT6%93*tlC00ggi+Nh5hmE7$Jyl48bW*QYC(6_QL8yb-$ z#kqN_9Y602wE8__+*QvnFK(t~HB54d(d@EkQCr43787u)NU2+g{(J$t6~0(DHrvLl znC{beaUpN;nhB1_*h3-n6$&J}LSKdhT1$q^!8?>>ne*tc?&M>SJ<)T#?JXej%o9oM zcDP~xpLm=ARAslCG(f5ZP*k5k7}61cU=suT>6<s<+27B z@8>1CLV6raqsfLkq%6K|`=}c1fEY?>s8S#=6#N7**GD zjiHH?!u{1i^H@@qR|h|4Y__hB2*ATs%qL&yIj%=gI-sRaw__v-G(eOmiwdntdrA=+ z)A92*%?T-7I9gJYY-NUq(ilOeLG35@%m`u@rP-#Ll)>^hA>tTvx-|KBoE$wWagmV; z9I@U6oS_7o2V8Dr8m1y^*?LM&95$)kCr&PLz6*!hq91fd!4eNxMF(T4{nBbLf=K+XDwhl0u* zPxwJHsZD|v(SF$RL=A?1gZi*p>dCQ{9LOf0`P~z8Ivx2=)xsEa`U2o3qP0eXR>l7w%ZZ;tXT;cL0Q&_esx#cX~fipBZK3bbc{u%=Dx&HlxISoJ)PM3d)@ zGlu6VsJGi@|D;ch*dwkncYLl^XQm@;k|T8b;3GtG9s_{=cE-l~&%aOJNb_oH?-yF8 zJt#<;fng>@n&sTq&Hi5|K5V3&&>n9J(EZLfS6PLQ58bB?jiBLHAw{(I#OnJfY1u{5 zl_vzuMb`2^e{5DT`Wj3pv(XFWwDGCL-?-g?Bfc{H95~$6B&cfPkfg9Y!0??{UTi>- zJ{%51cqb~XZ{c_{lq`XktF}=r+rgjhV%QgHKpIFISg;1!4Ddv@s5)^cSbe}f%V~Aj zc(=c9aeFcwQ(|rLW|-+rGTAQx7g`_V1i-X(aWI@;@>QqwZlXZu91vzQSYh=i1awcf zB{_bNP9j|{EQVnJR5CA$>t9|UCW>4)JzuV;KC2-fMhjL!X$xYH+2#v`vir)tM^vHS*Iv~Ai*J<1T3SQ6W z4PMXhg~rV9nT{`NI|~)o%S9my|B5$RL00_3*@amlXFWhy}U_L6rHIq3;YO(00M3r z$QEC}TE;+);V4@-pp`Iq0mzxfS(+7WO^i0l{x(Cn)f~zgIw`jL&m5AnsyOL zsDzcAnSpxmf#!*@&4JSdq`6ttsnL-;SECxw**$l#IEBMOu(DPw>U}JBE-o8H2Chux`{m^Hz{a!A;qS}W~pDYj2Li&V8Yiy_SI3E}A0OT2-#WGPXr zZ8m}s*|u5k_E5<<_aMe}gRYq?RVq2$b3XO znocHrnvdJV_vr5u&qKGkpN-`vnJm!M5lf@x1|-G$Asl|M+7!!^XFzkENXD8yL;qD^ z+wy&KXqpeYVn40%>hFHwh61HKpGlbIw`XC7}-6 zi|XJN0gS+kXDLtA?4*>mG$Cq%Qe5^7QDC-hLp|rXYj?Lk-$e%=a;q>OE*3IJqL=Fn z#(|e2bdy|ju$Jt?sv?eT_))py_@dtF*ulDV5ALJl$4cP}o^rc4l|HUu?r~- zYu-4?ngEVYa}VkhYNn+TiSP5e>kQ|&>+?K`HTv6MV@55W0eo_$B8{#qIoeW_t|ppJ zLrQkOVs;x8pzb>z77|HBk-=jPS(d*>XKJ>H_jg1Vt`>7qAjSAurnz?M%E8X#b60_DYT1^vgIri>*cROES;_P5?tAeQ1#?J$a_U}aSh@Kd? ztRgZTL=h`Bx>6b7A{+fzgx2jB^rF$w_9NeGFGG5=W}KRu;m4a5_+EnFPLtAqA*YYy zL+DT^7uL&FytkK-clt1`UB(20R9>7LueKoDZU&cC+aQ_WZXMCC^#Co1^3y3KB@wh2G1!{V8^Y+&QR8I_-DWzc){<>DpRsS!EwCCY4LjY)82c9-JVY@* z9~`%}o3p`oA3G%fiaUCNHRxdA>c2uN_;*L*z>B8Cg2#?s+g54xz{<2Rw))J4iDR6_ zVKox`aInaLoMwntl4xLoO$56JrUZ?i^pj-)tXk?XC)L}JI!?-w`ft+LZ9cS^a)Hb8 zrZo_;talDm(Uq#s+j*aq&=W?8{du!uTxC{^f?9l`qbp@;>zx&vRYgW7w(~`zfh%60 zFLYmZnZ;?iTbI%_^)4vR2HWkDmuI*7ZEI(YMN(l(g04ib*jp$7VCQyoC1Cpg_3%Kp z)9xu21-6-k3zULv`m?J+D`dt-yDw3>FU@N%xo9)1PI(??=+wLTz6fPc?#3;JrqRt! zT3#^;+=ysp$+{1iO5gz#_qFW1jxe0L2o=Y(X3krGvwJjS|6l=JfT`#({kwzt7Zw-K zWzz9D()wrfz8e1d}IMT1JaYVyHby@Y9kUW;zrcZj!$BLm<&%QoB0^Bf#YwboFhL}XB-QgJRVS>R zbQHqR(NKM+pJ6F-0i?@NNuqvP$pM*RnM_d|KYk#5)wxq1m-$_s{+L!VDaqt-B)Tvf znd~AFh5{0_M8vQWXU>HG@?Qq%!Fwbb7fUp}9<3}u&1Vt>L|{V2?hQw3<+l^qm#)Fz zlm?rpUlYNOvcnScdGQVIdHWYmu_2!ie&bgwh2_(;v60aln}bv@TN94$bqBGyZ3m0G z8g{+i31SrW%}xJgmIB|jtx<0HR}QiN+@?`QMH}&Y-gBFgg-jhql0>`bBm)&RSHmZT za_5orM=MF==o-VeeQV~Yqgo$@ZU)u%3D!mc6T?p;=`;*`(`lSnTt07#*cf8o{<1$t z6-b6uxjEG;JmL-Z_UnJdksC-rf~8<&!ZhH~pROGE%^9)&RkFCM^&dr0Vx|ujj>p?0 zf^GNDi2nbPJu_bp*Bbj@$eq+xx$$4w{kL+%_+hXf^#3ws=O=UoY9=$ zPM@;30kZ*a9om)Xis=}U()&AmHC3DP(-H<^@-sY2b-|qB78*kG$*Daf92sMGYdqBy z`XNeaUNvb!3Crv9mj9X66@IzXJ9ap_WAr)htBi>$p%BIJ3j3wNnI*epPkX8gL&faQR!Cy>$8+l=d#t&i`9ctx2c_?$_A zkefM!eZkCmWG!&Okl^?|Vib`NCsZ&BTORP(R{1+DF0<5OtO9OEBYosM_(Ir7s$>!k z8+$B^`ry0YmMFp5nw!G!=%j$jS5q=oQjw-?;W}y;q#%C}-j!b@KJKkp}DX%EnZbci4A4dEs=8;R};-wdpEe^P5RM zK5K>wm3}|=N+3FzT>xn1JN;qzVugiimLSH~Z|#%WF4-U4MECHZE}AT9GRfw3RT$^G z;6>lpqlmc2Yq=>nnlRc_iDe^z3FW#FCCZ>ciwI+)gi3I;z{gs*uOi!~hbipBBk|T- zYTzA_pK`#T7)J4gEQ1emDA2C7_o8IDZI~+IuZkeZ5*>M#{t$GG*NZ2+JH!bH~Omh2>n3V5D6Ng82h zU+S@)7WFEPhq97Q=$7T2kip?#oX7L3!TIg+gVD*33G_YW&$&zT`K8CcP22~4{8(1( zpWH3q{KYr{tpt4Qpj}Dj)K{+0#(xPM{Zisx3@{&+9Jrt2-~mIUb417F3H9Qso*ltP z!CR@vp7rTnW0NjB$+S}&FV2w(?DBv`@0f7tbQ%+M7^n)VBd6#`C6t*qq4Av}+Rv#W zr-;YSmC1m4lxmi+Cl>unBYcD<5MK3%nsY!#R%G{ z1Ek1|vofO=fUc4r-a;#cKjv=u38!K$&}$i%i21e|F3dv(dt452NaJ0pLQl9SIMF|C z&RqQMP&u{@*n=&E!S|7SBk-mgjClHQ9UVN6uuY&m8nnGVc0Nrc4&(_C7kWX>;-A>x zrRI44CwecD5)mN?kxJOsHkI98k)s?f2Yj=$mM6>4uL6(S*#5FrS=0XT3)uP=Q_a`ip300se(yLWJgO~eVfJ~sJ2oM&T~g_ z^U#SYLEp2BpgsM(e)~7hnDjmX4mjJ4ia+l$_r>QR0Y0M|E=(>Gc)Sz-%0^$n39~Hl$radf)M33AGG9(>g4yVh5Qakj+Sux{t2(`25W!3al~S zfQ|n|7P|Tcr>E&o1A6|A?|Wf`z~jyjUsf)7d^dadHtFl(ykEH4>!J{nJ@TsFdsP3W zxA*vUZK?~R*unT5h5I%+96m1~LDKF_MY!#VirCeZr;+Ptle}&>hA3&Z`cSqQo=7)LrL8N5P-lA+%UysN6m2J=*GIOJ zGgJZ!;{fV4EzaM&&uiz`2~r&Vw66Z$w4#UKf$%osuriX)MMC#9E0bQkx6mPA+wF@( z@zY)M#({Ndb%_mvS{WJuz)1ZWy3Lcw$x>2CrOGwECO6VInUP?H_t zp~dSqh2YyazeEED2L4?u>sBb}R4lYWwO|?((y~2tEB)9rA{EABN?{%p!T|eN4biOK zzb0e2E8;hTN3z8Cw{;HGAXLsO{Y*7gi*n2Kee=llbT{neA}?46E`yv6OckgofW@*f z8^3BrPqI|1WK)qDwB> z$`!f|Xqy+Kp){tbx<7f`?3ZJF=O!p6)+t!UYPW@VG>2x9rU-8Vu^IfodMNdIy4vdq zC*UoHp6G8>i2Wqcp^73(-Iub{piXQ~T70BLScv4bDHq7TJJJo^|~O8&e&*V zX~|y>`lQbi4d08=PhGcn{vZP)K-}k!=W=t?!I%sNNNInsBd!o~RTDf;g#K_!q+|az zP+7dQ;ck@NV(#3SY=^Fl_+&7qOp9ry2;5%jkjOZ2Hb2gjT z(Uo}8t~)^h&ky*;hmz$h@}%7>sn-uDL)n9|ESCfM>_MXa>Cw5}VEv};(cS%iWInd#9cUXExa}_(fjeCjS$5j6FMx}_T`hDM!WzN%yz0%saHHF;CP@;sx{+OlC z{SrI(^KN7C*W8F1ArUAPHVo-E38|5ir$WdY1D1uW`o~fN;>0&S#0}(-%P|46p-iaY zF_~Xnn`p@^4CK!2c5)mORX4xz5&D@dj{WV|uu=-e0+uH2z7=axc2zIy)I=k8NaHKDLXvfVHhsWYIL%p^SP1OmYfwWQr2J7|4z%*O z&KCXxl}@ghJ2|XMXUX7#Gil zG*?6cCBhHM_?R!2t$#jhp4>(JOYN7`KI9aYTv#KIiSjkUbx~DPvXdp7qB*+`6)E#DBh-CTMC4 zrVfBAA|+xFULW}DyY0rRo)DQ{?%Mx^h3?)KO5Ty%((mIvdxy!+1Yh0MUFzqfT9Ye5 zvQBX(DIpT91+|cy(L!fv7-4qgJwkf9t4US1g%&_tC}nL4aKnrVd`RDa8Q6KmR%@R( z)V$O4cRcEm`@6vVf1hg4=n5pa68J@7>T3(TnwO@W61&+#1rC;Uq=wXJvcaW8s&F;5Um&kf5W!y@|?ncyL>BN=kvAF z!y+OXJZ0NIyajnY1iSX-#}T;-L`Ba`8D6oKIei?I7uap*ICc2^@b>;(IBb6}k}TOt zILxoL+k9>qZaykfaTi=-3196XUN6-j78Sn^DiQqBbt87?>x4zk=p_#)RcLSW%SJdm zz#Y%O;l?_Pu6*61x=~pZW-cUslD?+#KX0`w?&c0cFSAmCt{*_+rdG?AUhS&?%5hWS zUO=?9c?M?=&;TS~K@{OsZrecpDIhLzicfF^`b(YK5VfQ)tZyaC;JANPzUxkbD-VEe z60}m#3=#!%fGSV#&D!qK!dND>0L-}TQzzYW5f{Oh;9r<+!Xq>+;M&udwbo^cZi-fR z<~E~XM0W5j#4S}~XNk#0g7bmny@8N`#PI?PLyXI2eu5b2=3twjKl<&=?&ic1UbI#c zm+yA>_3yFpU3Mo(-G4#d=vJo#yJ__tjK48-7neUZucf7mfI_iJI8f8)~0UCmSeTv`%* zR-N6DOe8#Dv$iXogCw#=3?$nZ-5z!bNVq3PT$;+=CUW^^AFU?Y?|@GHzB$?C)LH*6qq?nmujNZp|L^RpWn%8R|5=%G_p!nk zz{0Tesbs95au)9|r4(w~iQ(II?ch*b_%WTMLKx&5geq$-;{*N`*@loXPa7_A?B~mH zu#MMvs3qL~&!RB|z}SrL*ng@a&|AXjELWA1qS-23Lc8XfH6h1LfFm=dKm^8(yAhVg zz(j$|Qz`mC^vr|N{p0HRpzfhKBzms_H?#B&J5hyhML(P$(oZs3(7vWlPO2nF_)kK@ zQY(?_HAR<^-jv3STcx?OFQD(cl2xV`jSR}!s}}}<HcfK%M0pO^vxRJ)vsuD`{Sa z%T}5ILxtff3_C`6oLoHD4H)k<=km88*B{O8*JH(MqEcK9bhV7fK^5LKmsV+#>mfcH zfS*jrx-v?~@+Ys&Y`&Wm(soYYPGyAN37*6>=@0Q{6BAF7p#j3h_nZBI6!1~NMux|B z9`-nf8Ep(S=T-j#A(m*1OHJmI&lAdySl(fqiue6Q@4LfDqTb){ zoe!JxPHSW^k*prum1jxcx{=c>TYl;;UUqBPguOzdRkgA(fX%i zS5dN+S*R zOH{e2PnrHJzr=n{OC7!nVMgi^B*JR~Y zz-`4Bf3)fowrDUKytg2Pvs()@4Sv}I0^=`(M-SkpOb#fr3w9muANp3+q!&)B1n`Mv zr~R)D2F2kEUs=QQ`77=`AB)+*XpjX*B}Ra^n0kIG`(^bF2e@|&=1IN0%w53GO8^uV0n&E5UzY+0ma!PQ$@huy)dvOK#U&Cs`IdBUm8 z^Jg`j*=M_(8^3)NZ1QF?IvAZIhG$%}SG&~L8rYUTJ8nt8q_|S0|8TNwPNyNr+USk_ zg5kO?sCoK;Jrl{`RRy%UkS*f?+^b4+gvDbgzLjK%OV9PjhRQ>$&$p3%n{;I~@e{D# zJ0lNU8l3bb&YNpL&+w{si*f3bm{>PWJT{a&ZF#Pq{yA;Ffp7-P=d}JyL_gG#o0UE} zE{S3#17PtH4Qw+MC*+X~Dw^F>{ofx{G5JU4uXf1#`~hNTpi)KLC9S?yBe%3^Qv!2)U0na@U1<|{3z}Tb}`Bn zj6OvHJ-|cuj7m2)&-_ywFe=M@@Q0JlG1+!U^O0M+;5LypZp(@olkKh;l{-5Xr_)-e z#B@9+J8`5A2iTmlzl@^Nd)hh$>me@x3lF7-zFgmkuyScc=tl5IDDm{gokJI|?!lf3 zV>+#l|9Rm=5Rnvd<@MRPl33^hcl>Bpe-hc!LD2`fY_qc+)c%P>NRrg~6G@b)$Ai9} zFyWh3`e!h08ufI;8coFR2lFlg*1Z%KO8L8g1pv36TiH7*KmHC4ce;~XG@1WfyCA0| zA&y7a;HB8#WVwUW%m8&B;L(utr?IEd?afV?;yaNQdjNM*8Po>y^Ne+!-mT{rjqAsq zNwb*Vba$ObqrHH78RJ7xq)6>FKqN|;-yGEIO5V+mCm(p&K+IZ&L6GNT;j6EM%VB%| zvD4w_eQP@FX7%=6cHamwuKmH?gyAC%{emVE`s|VWnVI~Z#OFmx>g1&HoUKY9S(N(- z<=Z1}c))^QSGdvRCOWDE_X=xA;G0?7?+5d`3%??dKeoLSccEb9Io{*39oBQ-zV=o$ zebm!?L>#0P?X~7qu-o;ZZ{V-MBC{K@H=OWC*; z!Igo{PlkVw4|M$2H_3}9;bFHY`kcFBF;kJqyXe1A^v1FCDJbIo!iI>)UbC1@^}M6W zk$a8a$3*_jT%dJIhb#2&<8eIihqo$UCo*Jzj(d^D*V&k`Gp4Hz%TB`e)l1lO642v=61>oTq1e>AMi z)6%TatH0N+Qj1{4w5flKRZ3Sr^9h%P02-3So=uWu&5pNs2vI|*S!9DAee%o+6sB@N z@|>@8Ghgz!Uho*rg0h87cs9&rLxGYlNAVRP5`bGR7fh z2ojZ6l#3<$k*xYH>Y4ufx4~a2IrK?N&r|$1tW1o_hNl>Bb?|ZmhMo_ogyFCcjah8i zvbFf6$uGvGMavMmF8=z`4>lzC(vbvZt&YI#ZQ^X}7=M&SPO7JT-19 zo~d_}PmFb?MCmll)Dc)CxwJIlp$ zmEjB#8&7HX7|#atb%Bj%j?W3aAE##INgCbBBE#r>d-;khUvKFHu13!yRF2uvzRHTi zGKIOLhm}5v`Hj$`G0a2ouLf2N;y_TsqK1v!N5Y*R-h{#WHRn~I9t_f6z5XIoW6ugt zt_vGHCx{OU`2A)wDc1Zis{ERK8T*$lpCwnojvltKp}fK3+#c=#iCwJ}DW#RD~l?Zs`e9KF8?EtuQ-;ODd3addwYq%myzro1 zAZ3>Hb$710Dft>4ts6(uJ~{F#clN>)8MgOaB`MXM1Nkx`YUb8MR16bx7EPgFGJhUS z8yy2h9}0@Fh;A8 ze6-Qb#CK0J_`8{Se^9*X*JYT?BYj5?Nhh}?peG%lG_33nkTP&Bw!Ac##R#>3@_vvT z$AA}BCG+0eZOn1^e4gSXgud3I+G;#YUbA{x542SKwk)~8LZ5$vE=+5$Q*Y*1Ky znO?s6r8yh^v}a52DsP#7s-B<7I!`TrOWP&zH_*_vz!zKuJn`YucRH@NFTkDf{!E4S zHIG5tz^UHw1lLbsuHV*}iT~b>>CV!Sra5F*5i@W7Xxw>E4{q~HK6@S~=i8V|G%^uT zQQbmbGApmH&%?ymgPi1qJk14qIVe&Hm1)9l5 zYxSkvY&+0JiwlV5`^6wjr{~Wl-!%CPeo{!eX;p>OkCCdCM{c^vfES2I?S?p48m%IF zfe3qL;aArWG@P}D_qqSW+*<|L(JbqNvSmpYvn-ay%*@PWSNbeGg!>bY%w!4 zGvgBLF8}+Sdv{FiGchwy)6q}W9oLqBAL&z;cSz*#1srIQjNM!pwp%41gNr9@^LU!mZ!R zziy60H55c95J5z}_}1n8%TH*G>`xh96d7Dm1~x2q;>`4@=SGKlghNw&lb7o@Zhf=Cy(izqgdJr#AR zh#lp;vT1-ZZ<`2bY|4YK`_c zQj$EG5lM*2ka>=M%}|foKhx(-;c}wuh*~8dq1dXnF+Uh|7##KO`Et5O)yggLcGt#J zF&%IG;^hb8ERNq%gR<2a6 z%_7=~P2h^{D+;=0bwe2mGEOYZp)H!jB6&`)BKdA3w8>pmW7io02{;%B&DtGG-j$nx z)32_O0E%bg`Jw2d8%br$W3M%Iewr`*sl6>B1km25-#qB%cM`0OR4={aYX4YQ=x#8iBC(L=`Sk>DFK#j7{-%BVwCiIF(>tM_bx29-H%xCYjAw zZtpt3>@YaLN^+mCx8z*{{EiDk@#oj%MjzR`VKk$;BLakc`zmoepuHdyX*`y;pJnEW z8SJjatIMZt@|kkuMdg92LpzIl>*xsly)lWOE)@r_y4xw&c_(9}b0tn`Q^$^y<6S@5 zXl*($t|izJl)qlz?*=WJrKb`C8?=SYJn3K$|@(yoZ7bmUn)y?wdsgPp5U zpDxAUZku8KzyGk32peQj&C&7bwepPrl$`k_aeMAtM(Q zRFxL)?Z4nzP!|#s(i9H>bcyH(1__a)cLGWoGvjNTOH1z4P<7-M-V$uf2F7v5YA~1# zx=RX^AhVlq&BRNNOuZo-$tXCPun0|l=AqXsv(D*kNyUpT`slhI|1R=jzi$YH5g^@G zGSennE%ZRoOEPwdM^j2ip+DOU#OzJgjkkFB-)qTY$U)hI}R8hBedu?c34kHmQn)+4d|qO3pKx=tG@x3RQfWH$iYy`3P-Zz@#Ke*}Cc z5{-wMTT)n@E%51r1MNo#yf-^?0Wns~!puqG;-m_H0^ zh&aa48?-}E=3JrM_!Cm4bg03X36eTOaejgt+r07j;M}b zqhhWy_b1|omA}YLA-66{RAR}5+%7GkD^;R!nTEmGw#-&WgpU9~MUXCU2Fy1(JdKfb zB$IG)pyIqTy_y=G{!vsmmh>qz^r%L+s~_;J^8^aW__Vt#QV=Gvl3^CuZ(EVR#uOZ; zzdS7NEG+%0SFRSU-Hf@92g>CRG^#SXh0Yj@uk+KS;7KP)%dRY`J~2VRRP3|jkC_6y zSK%hBb99n&-;`1ghZWyQ;WTfS8m@vxBduQX8;de+n$6I}rd08fGv1FVhXoe8n6^6$JPXFf*(?ku%Zv>wHpl$@<;Tuf zP^jztdg6whoDp7V!e6?m6mb2;^ni|pQZYhv645PR-1yalU+`{oHT)q~Y$vV7=w3%G z9&)zXp{&t&oLDIgx^hU&ghMbUIKgRlt>^X8h?)z7rbAtY-KbL5QSYUV> z8L-zh9QP@HJDYm@ zgi7%^s6@#D>gkosz%YEkE`|Pjv=8yCEi|m)bXJ~u)S?A4U>Q? zCeU_?y`ANp&tI zF^&Bq-9O0h=I5I^1uNBicCkz~x^j9~Z=c6mv)EH*iF5~)<{EyZQJ|q!WLZjgym#lv z;1>wMLu->lqoZ)~L)X%xCC&aQVM5B9jLtE!uy!d@a9=fib6rKUywOn?U(}{U%O1+N zbEM<%vY|V{s$J;4$;Y^iwqPMwRw{?gPmye9RIi`!nj5u0voggo%4Sgft+IU|1GD&U zQy(>SP&Ho*e+Y;@!~Fq=AtygkbYo)LKJp7CZgQKzhOK^3Wafchz5Z?BLp8^mCpF2-?(iNZKS=&Z43JKx#+qt++vSgj|*syK)p#oIpoUJ+hb+ zgQcixlNe3-MC?uu_&K$!e&>U@ox3{F&}-6dMe(J+SQ!En7Ay*1@@G!jG!i)&klc*t zCG2#!RaK~r%}{0x?9PnWVoOIBqIp}r3#G(n;zx{#&##f-8!IyUkCjUFyibk_$&Ei# zJmd8d_>|7BIgq61O25~_*f>C&DB_sJqQ?4R{cvCCpH=lN_>$rX3x?I7hvkF*DdWmQ zJqj0#qP=raduFjvV++?}embx3W2~g=y&fT+dL@}~Px|wzRo?!e960Cln=;870geO?P_1(v^A_+ht7I{F6%>EG+QR39&%~J=1*+OxJV@0Q(7jbC zjsNr9A*t$_lUUB+l9_b8oJ+~4frDxzh!n`}B8mcp9o`0TM33p>D2$V(OM_Fw+mK}K9W3XthD`dB|CiyPQ|SFX>kw&#|# zcIpIX$5M+HxwqL>0P;WZ#keO3inuH)$5aXDr+;*|$F7lRj{X)qH7DcWX9*j{h?5{L zb;1#tS813=&mes`Wi>)WgFTpu8M$}*V;l$2ZkGjg`u}vAH|fwCyYCjr!s(!$KkB2#K_SB10xb7b&mvn1*B9pXjs_AVf$QBn_Lq(vTm(gF z^31@tSXY!Iwo5mN2sn$(!afrklrP&|(h%PVQ->KoS8AleP5-9eu>Wy49Pc_4i5!D{ zTC67cSu%HRR^wFuS)tIEL}Ylj?y=Jc;Skk^5nW@tbA83Ee7KtwD*#yueQx1Rht2-M zlDKA7Rtu(y-?^qhr;))Jj1J`lH&sYLSv%?$9y-OWcU6%Ny|%-LZ1bDvt6PI>n_0RH zssbU5l1uKj87r014tx5?Q@mTJfp=yb_F}I3xYEP}kV5mO+w{53GeCDyK_-hibU>#cu{^BZJKOFovxOudvnD^|yUV!DgFN=1%Eb+$6D^k- zYts7rto#fNiFI*@+7A9IO$IS>z0dh4coc^vpNFxULI{KrW86-HH#R;qbz*f_URTA& zsJxEFQbtm@>W{baO$6Khl*ik@Daq49;Fo_0;*en)DnUlu3Z6u@wi{U!?Q4`MUQ3kn z(Q84I&FSB`St1I#lfC62&=-Qi91|AC?|k=mTD1NuB}itnc4eWAF1_Ru2RJ8MY=P$M z^kZE0xFzx6@sKS7af-v7g`8KzdExNGJ@*8$!8#SfzR;5g-|MLJA?@obr%Tk{vVW(1 zD^k-t`;N`o#=_#(^#D@KBlv<1-@x(|gWNf2KP+xTbS(}kns=U!oSk_cvf(<$%(UVm zE@Y`RXa?i>1ZbW6(p{JI3C98kMAQklmhe<(2*K(oNP%Gn#~WtYL>*2wj<7*_Yo)Z}x0ksRYLX9%Jf8A_D!v%2ou1`%t0XI;r&|0;7z_N5@c^=AlnHgQ#(Vl|!!!X1 z;cAFc2K2OvN}k)~NQET3SlH!h=IlGK)aExBR!^x%`iHGlH3->zoDqF-?omoIx}wwT ztA#0#5GdJM(u`WzM{B?9l^;ZNJgw822VAT+oeLksFY^*&d@0r(zEh#S54&{rXBGyVRU-`u8cj*V696OZ}qXe+WcRwcqjJn1TZ8uT@>}U8x8NP&d5)+G*1@Gr0!Ka3#Cj@ zg5t<0vQU!wJyU15pN3LnF=4v54~WS$Y`pyXRthcueYhtBGf3eGPXWgi8|sW()VwCA2ztz9Y9js625(a z8G9PQGcyanNbPP~^3)DR8b+KgBj~B>b^#9c6tOVZdg-Vzdw5_;FL(bF53?znX>v&1 zsZNm8vTu#KcwDpnPo%(fsu4Ierml(YMb*f@%p}G(iRSqqyDIpR%fD5?$zTt~4(&ke z?Yj-$a@j@GIhZL!h&>ayACGZwP|>wYr6r!2l9LW zf@Ff#?#2~1NTOLuHHVpcFDSj)?E+zC`MaY-T5Ns<3`d#WZNQpD|BAQv?-(qbOhHlA z{xqv^1L;*52crsm)S|FB=mYUC&|6HBA%={mkdBN}kUxh*haIfk zZGIb_k-iq$q1`>gVMkRJ-R~|UTT>hssKt-A>%|$|2+EPa=>;}$VL_hqIq%yoXf~-T zTM4vy$X4=sVJnH}eP*F+erYf`ilcw>xHMTfSMSXbv~ZE}jCE}^Ueaw`%`}W79~Z9| zB)Dew)oLEz&ti<54=B9yz7vjoy6{}MSREQ+6}}EdIJm^|g>F`+;S6|-hrC{iBT1Wl zPmo`nENFVM;XC1qvz^pk281mc@48tZyIaV=g_3qvnoL9CRcG)@nBClyCjW;#Lu`?n zq#RP9FDa{IiT9x5d5a8nfjfQbwg9wtrkI3k!oFzsJ9?_-4KyrMd}{gk zGSayxre#UjB$~|{s2cbnCW)ZRd8?I3vq+~W@#u+{Us}^0rbRCe@TBdK<+}hxVytC7 zj3TL{XrbX3N~n`&B5F?Ehm@fvOwn zC#OcVS=7ZV%6llrapU)|#+t{7l*nH|;2Y#JejwQcLjEhIknla$4%YuisnWg%!(+!X zoOyZIqUhfj1Ah{t*t8WFGguLKL&KWukM{i$!n)q%y`TbO^Q@ghpSW>cX== zO!vH(m^tZI@#h!9VCJ(|OZ>?>*UmapSKDu}VCa?O!F4RxJUG&&6MlOdAw~Qeqx8wd zUl{}IPPd98kA7sG7S_4^Sho&`;K;pb0u&T#o$8M*Uw?aX{QJ`NOI8q^#f1$}dGp-x zxP)zsm5hQzu(}Pr< z4p0#X29#$34%~0v4+M;zzT@YpCU?YBO2|;eCe}IKKhIR{*kI6>NN%M>D=ndk+|0M! zvnau8O&r#NbJXf#NA&OEw{ zQ$l^Ur^fHY(`cM4D6y>CJ~JpBKvd zYAb=6S{dbLvVmq|MYZR(yBvsVLoo2*ky>MRr?v4+$-L1T+9Jf9Rudz?1c>GbMGi$g4rVio$m z&n|jhJeeU^yM-Gwc}M0=!P{=LBdLPgm#E~)(WzXc95~oQC|t-wOmBwG{LM=L^1#;J zc1T?0nQ!zc3;it8HC^qfgn8e4ph?bTJZ5n@-qO|Bs<2`{yQU+PgGrw#+sD-}#=EP| zVvl2iY;HS0~v!g)F_??u_WUK);)L91`QLc^!i%3~N=EoEmX@ zz~uYx@xE3A;NA3|=s}ziNHm$V>tgp_`$M~_n`ZH*7Khty8?pMM9*nS+i&!o5oxO~F zK>+G^rNE%*=@JUiRRPhRqU$_E{sRMlG5ec_F21KV!-Yeo5whChMj&kyy z(lKW&5O}t&r(pY1^JqPGdTDyj=;E0G5r&Mrw1BE=!F0WsTGnyHKUo~K5UoZ942Dyy ziRG`YFy44w7kE9l;!2Nh>m*d{A%5JQHPOPttE@mBk`L~Bx+}>gWwS0xraeC^R{J|C zzW(PeWh+q{nfQ4o&s-ZP?EcV`U88bOl4NfjfCoO8!nI2Hqx<@--Ob=Q!@+ua80>yqk<28#U+ac7%uA;&gp7D{-m|E7-E5S!VGylIS$5wuAZBra%(hXFSm#5NDa^l#EDk-|uf|X3 zxVf^~lf^XwQ7f4mc$y0LGg2mZKC#!r{6<~3%CtSf}hVJ2`XKUThAyyV+RdW9$nzsuID@$mIWXtWn)_ z-#V<|VZWrR>o5wm({dNzFUiUA{i3dM7X~2c&YdyVB=-A}H;aZ~WQ?idhEtmw7)3!1OB?nim@PvFF=f%? z4MvbrhCIY&soN>8vg@irYzX*?jd*z(iI!%x{zkb)U?*>uFdKRIL;uViZg*4B=5Ks~ zf$TB7XYgyFgLjl>XBSQW#{)tYoy|b${%Gp_c+f@z;+0$(fkbo8SdhK=^2ebd9PZv# zXM|fYt?6EU$P%U-u3=(UJJU$S`Xq4QS92!z7pk~{-D!0^V_w?Tc2)r9ng)|gH)^qK z=ST!Nd%Q#4DIRI|+z`dhYaOE@2`f`LEb`(v5o2K*!~3krv*Vs)$zL>&&nQfGk23Ba za2aOey~}QEuvSZn@b|5)K+XC(S#Y(pP*PCFI>DMfa+|Nuvx9HzE^%)tMQn_=vri^$ zTWcWdChOsqqqOMZk6be({gzYGXEdZbWB!(3-fm;I;QYE&@8`B#kIm%AjDsQ$anv0j z*2xHA&Rs35t(#R!ZuMDz;%Im6v{{2=rRfuvs$dQtW!s;ZB&in7<(kYD-7O~#WB^fz z$&a2+Yqr4mpAMXyu0+}V4T<*Pv>BMd9qUo5BD_OjJd=EbSLn^kZvMPSMw*3cS~<7S zRTK^m)pogm4^@TPPdT!?^mTUmM(g}0LX1zeB|V~@{Ba%x6}e_zIIEoRI^QHf_SNmT zR<>O_Qy3@ufV$@3%?K0I_hsf>5#9KSb#MF12WZ~|ub*eouH(d z5d=g~8}AcHoK(@!NR&$3u()kc?NzIXelM6y8L_49oNLY0{B;>>3+Bj!=OLYP^L%N_ zvRat3YPjDt;1BZj%(#lNE>P6sG?-O#+#%}W~#y#0b4xpS?a_m>vb3dG5{ z-PjrDiab5DE#WVJh7`3X6>SaC<=*}y7@)C&vCKnNGnjFt856s^H+Bp+de^)Q#wwuw zFjoRv`;)lW8}uMD7r!l@!kION!UD$j0?grUd2Kc;=&W%{hI!xEkI5*S?NTtk%$L=+ z4cth+h4UI1)YX6$N|QfwE6T=yTUFR*3n)qK;gR9kHFBAP`tMqR{pU#ljHZEl({<5$ z{5xnX-``P#>j|2{sg_D+4rn-_=2eZ)?0^*x5vae9nr(cX zF_V>itK;5?IxyVa2`W|aV%-B%hl#liZC%BL9h_TlRI6mkX3Z48X}UfbaPq=g82knX zp{Rjd6ZXUwMcPD}Gyp(Qg#1DI09P{%Q9QC(c)nD^lM8P;yzu2>nPNq=ql-iyU;B!Z zzWJlCFWHxjOSU&sJ#)Ev%TQ-!A!Jfs2Zy!Xl0CR)x19sOs9;;VYPv~?g_@r??9+D; zrqsk5s%7soOuhW+vxY24hObn4D)=~ZA81S+gEJQ165@WcD_Z_m!&tV6N6fY!Injo) zQPQ^5P8~$ODD*#|OB53v1N9x>{aah|>b-T=*GXq&egdgrF8J&Ex??M@izKvYD-PIO z-NOnjvIPPe(bei{S;O^OoyPjQ07Gby$u(ZRhi+1|G&x`Kc*9W0p8nYG7vbEg6iQ?t zf0+~Itn*(w^&pZZA^1vYDaXyVu;_T__Q`&9kZHr4kC~j_pe8-2-Vn#VXpm?1FsG3? zNpUvJtHY9ELzNAyDdTcb@a~Kb?v-Q3UN<}m%_R~y^47lz>vq{fXO7zFts{QYvuk`L zh8jBY>EhJJkp@@MRny>@YWh`Q{>nY=sV%$nVn4MEYSvJz+L}Fg8AWFny%@VASH6n}{!T>t7I!n7Q{7G7?ASR26i2b*#}?pcgu-C~LPqM1z0qw=tPVFM zO>SRD>$U3shy`XogJOWldyscI_9NM770I3hMo7^EDT4>uE_e}xZ#_OkoXg2KzNPA4 z)mE^2MDG#FSWfOVsD=0YiOR(GpZCTv5$L&w>HAS^o@T1rxwnxICNqcpWi5uJx3>II zU)za?8`T`hpvdk`PYRYJ3F`brnUw@SnQudJCK?TLj~3o8i=5Tkx2rsP7s`PUovlHK z{q>okP<$1@dDH{6acOk)KeodBZ;cr6kjETIijE!(QR6L0l6TrM0j>GX5*gnPIBdT- zZ!|Z(iRJxH$_2j`!to{*YGw)oINjZSzlHt*I$s1S1c|mW{tNY>kOU|EtBmTa5Ht$! z8ff~E$hF~ho-}Eoq7As z+UJK>;Nkh^!Buf`o?=2R_Nc;$#Y@7xTI1~esdQI(n|l2oitM0MyN|lFA_lZ+PuL@O z_?*A*@-N!erS1|7*w6Wx{s)yifb~J>jeGJ{l@Ga$iWe6<_rJu2b0q^ zrPjjixD%j)1`;tlJx}_zuivj8Q*-qRw`tA~e4LqO@87*}U1qq}`jEQcr{-nOcL5U< zc2rd+aM~?lna85N@=Ws8)~jkKM-kTf_xm-A7p7t8p%hdd1zbYbgk>0{ZKLFlv20O29e0*KY7<{ z@d>L)BZKFy*RM<7m@PxC?;S^rwII9ZVtUbOc}k@*BeeATpfuoeF<5Pbs_tCLM_;Zj zvkB%YbuLr~?An^gUw(bOVbD2ah*1dGFxY=K%ufqvi(NBsu>&71d_ldD`%c^zZL+Nb z-;w0qd-rew1YXhqoz?1JkOI5f5c0Jg-s%H&!TcTs@aUPf5)$C5z64Gvdz@yC7ymY` z4mL9(uFu|Rqhf_u6}QWrNtW4+R_qhJKuO7=+sT2*Sdb$}CzA5sqHcrc z=@UuyOr5}N-|2MB81Hhgtws=Us7WIkk=zB9pSAy3nHN~7>4)SWVVzq#6R#@fUi0$Q z`D!c8#bmc50cV5f!epX^%D3kDgQkiO#Pd8;EQ=rJ|L&zpvpA_o?Nb;xc#l|=YfdYA z>XB;&e6!<{{sa@cT${c2hmYr==~p&=qRW6aj%%F3?PSe$H5|{`&kGlr^qQaF#veRg-xY|66 zzxv~AfjqdLocwoKd8)+495jUO1h;E`3_)Y?Fnr@|vnzoI zXen$e_W;u|&=u?URfFgTjYKj?1O_K0a_8lC5m-O^L}|3<8b$oKTMr7K{@o9PPnlD) zmVN<)J#-P-9)kWlVnHKLS$3|dVz?}XGZhy7UIW@sY(?$Zrvma;fq?~Y4i2~zkbn*= zhRWg2`SCKI*=Xh1bjRw}&L~3n?$?kGuxDe5RDAg$dqWt_!&KzJtM`AJblNYmu>>U! zyZ55;!O*lgWZ*jMt;+2fdE#LE@fmBD*RtO}{^~+KZfDU7;A+ZjX!7b!&)%oG*!UsZ z#kid8PC;&;gLQMwP*Yd7dERWmcc@yT+6qe*^r0ISd%lS+^o%I^$+rH{qG<)7&pM8meZI-Vw1FiRXijs{| z@ob>DXQPnwf$h)8zw^-pUSS5;l-j&+h;_-Aof`kGW@%h#r6#I<)(}608Sm}I^Z&5$ z>JRtXc6sdtX6n#!>i58~J#a#!adYv(XOC$7E(i2~6=7>x{w>1(1d+_q{yk9Fr2y^p zxWPER%_!37{x_u?U0PqtWmdl;Y|8C$D1wRYO_VBb69r%dn!JJF+{CLdf^T72_6Ak% zdT~qu18=0MQ)^=9YOeE(*rbuy7TN(|0bFcG?yUz3hYcx-_PH6PzSr~R)HZHx$q>19L7sE)y?1h&!$9aBE-R+c{Fp28sqqVYcX-eS_Z zR!4a|DIEjJsD%Qc*+h`y>?13BA46ZIzd+p~(H$1T?|WZ8+|av_aqZ z<@gY6+Q1lu=2F{}<_69Ua%|@$Q>!Ti6o7kL(RAb`(l&D}jj*bYd@+Z+OQ&RERPY}H zP&yR87xOh=>WnTV^2g4-a&oCOSRV!Vw(ySl_kBgMx(vek^aY<^k%fjNqhNil%BHYn zBOA~wrxB8lNwh@JnpWcdI=ze~w?7}5L(N{p++p@8c>vvLjU$ccT@zK`$@8#curL^m zY7n|L^hA)tT8>W#yq8z_%N=?+=zgsRuo@~%sEv#n;kH%>Kh_*t)evgQ#bi6^8m2aF z=v^qc#&Fh;W^A}DT}TyFO{@;=8~}bx2uX+t1Se*t+Gb*Ezj-ME{xvbXOs=F;~O9Ts-8x-yQ95s zHN662@E#F~r+`?G0!3|yqWvCtn)D0#A?{z1XIyDPnyhyk5Ad_AZJV3DU4Qww8xkAr z|0XN|xdr@r&$6zOddfXn~lLm%z&P%T5x#FyFn9ez* z_)20G_MS`)2P73B_MDm!6M4IQi^NX@TrfPQmz zxSP%iQ%O3EHLBNX&5{F})i){^3oa=2mL6}@r;krq86o(Kky$?N}wY6G@{ zJ<;KD34kQL2PqPA4h6stN=0Zlq*LWIV~iT9q^uQi?rrRLzgcyd9|B`ZZ+nmr+l*cC zuU;kf%r>iLR1JoYJ;QhBS_J%vsTreUJkv2{O@=?BwBw$ym&Y`MvLX zR}sh*)SRaCs_r>h=POy%UH4i=aKVI0GnDmW@RX_lDg+8|Y_R_vv{;#^AAlMUP^~xnNE=RXH`#iM19lrpJ~3lk<^9{w$U1P+r*>62l9pF-P)Hvu{d_& zm>))?-SjRnv~;^*122Rx4_}xa2i3^l-sudpbfrbI{7Fh;B=mP<6uf-jpR-&z`GX=~ zD((N7TRti<=^1E$R92RgMKnO}r}(r|YjXmtc(wG;9w7w zy!poagzPIsQf44%bibOm-Y7M(Vzy1_Ifx^I!O-E7LF#I-sWfBa4+j|lQkJYPCf|Mk z(yKbtSwiw1Yr)$g?h`D((Z-W2G>l`>Te)gU{0;N2PsiES2K(@G@(F9lbgOc&FzmAw zYssvdw2cAFwYe(;?{XLAz6Ym>d6Ie`6@V z$KFxmvWLvENam>UEN{$q0BMhrZo8sujl1edL*HzY^+gh67HTYm%h(r45$i3dTx9F{ zV7E`^>^7cdHxl?fJcrIJ`M7&S!)~>-HcFRkVDfNU6ZIQR)?pt(lPZw4XXBS@2W<%i zt|BpEP>H7=NSzM6`pdxW|I!m7xr}QjJ4pcJ_7^V`Ih{TOc3AU4wi`KsJ1LWC&HqNl z?}lp*odi(Rb!`k+yJlku5la7C$L=U?uv{vB+aH55xDDsmCwdb2s_wWlUDKJBH&}Jo zc4%kyfXlX+)<0CGD$q@eK>u|+`83WPG*Qw65-Nyk{)f4epwyx|PlF$4cI1)X1KFmV zf>*>%afaQ`muZ^;@%AIb%ykm}Z8YC;IHTztuhY?e8U*=sX}vp{0Vp~BcQal+lJhxw zuWEg8{pDPMCTQl|swKy@EHb8iRck_#?>-{$Lnqm?0| z^B;i?P2LO*->R+qEMd%fTgcH)ZYifE>suY}R-V5@{h?xB`Km~3$C*8eur=gwP6KoM zyZ21J{VhFs?|>PvT}{G#;B$qoqla92Parg47hHtf>5??(UtMr%_3%DcwP%k=nj^83 z5vQ_(A$pRsu>=J#WiXClB;T4E4y~655ag6Kk-qm|ov$gs+CLzuxHmD|~XW&iw@$EVOtO@c$ZtwncT=5JMhNM=0(JEKT7zZ0wC z%VS<0bH+#+mawXgfvLyzRs0yL)hb&O*RuhRxwrdSLw`8{9z@~p*js)EOEq4ti(`3| zzf{}8{C|K<(`tVEG21%jNw*!BFDHuR2m`g5MowxdK$!Yq#ZO26l=I!10&Cj$%2P<& z!?j|FSF!~@$7`(;XA-a;5*tx|x*6d^+csNe?0Rs~))-kxN*g$CD{pLd=mU4k7OQ-C za@(`ge+OS(G)Kqc`2nkQ9y<=8N8Ig40LNlIqE)Hn7duIma~{r2f@QC^YUL-q{K8aw zYKCDmKV`YhE@OM?V;l16ZxGEN+zgCmL-~p%Vm8^B$MP``IQ?UqG9r-0?j9&eE|?YI z6GT4_%6z~e&WP+9->dx%L;SzPtFMj{VR1P9Sp<3E?=br^8T8s7WBJJr-V|)+XGDt5jz1vGv&^hzzr2klri-ybKKnc(c$&AFB4@3mw_Fd7p zeFF(+AXGOz5D}Y$??TdJj4?K2PwEl{UOTM@U*uoCY|8zg6B6fV;a7&_b-gDW10>NfwC|2AdF5mqsAU)M zTIi6W{``P8MaMJ}pO^ZpLZq@G|72tdU}Py#RS8p$kz5mPDJ4?z>2YMa+(%3!OEE75 z6#q+b$ez=jE-oS*8{{9E591Bw)s8j>B4`E8*Ib|SD<^d|O7g z(17i!m6{f~k1k3ruD6cAtFyNMb$ zkN43MjJg4z#xmMN`)x30qOJEb@o%N{CU!u>lanRCxv%VY(|rQik3RX}icDVg`~l=K za`Wu0QzU1o4~CyLEy2hNCI#TOJz-uUYe)634hywV4@Xy3^Ml6X065f5n5AA(=dKcTmL z#i04>9|ZeN;m?L>f4J6lgQIf!_upHhN@$6>$Vfi~zJ7K9|0FCg@tx54XHmN^iPE%; z-2_t4tva=IY6fSQ_e0h&Po3!`C-KF8%Qm3^<%>^dFYLz~{pl_1AT1FQIeVVB8LTY9 z)0oifR_YjDQ|fjFzDa{zrd{|{b3|z9&^0&XA{g@Di&K=s4%89~I-65kO62b)laFQd z&m&aI|99G~K)$lus;EMx|61Cl#Eas~t73IXe%o%}eUz@i4!6MJnu@s~eUOzc^ zw708nV+n#~{HNEKUP6|Sb8GxgFQleB&%h==4MC4A+ry3rS5MH8ET~t1eXw9N#KSK@_2}(V3n8Na$kL1HViwRi-Quf_8=Guog^Z^O%I4c8 zM`i2D;RA@Sb1LZZR?)LqPPU%mCL5DS_PVuh4=nGzN2F}zTH{xvyKn6mB!T&!*@q8w zo+(U6`WWac-hlYLr0jnv;D=k^xsOeRA-7+K$VXn+3$L{{)l`7v^Ua5DmuW_g3n_JrbGuTxgWKG48>l@+8Ld^SXH8Cvm;|Tyn@(rnfClexh{jWm6zS;3JcbODmnX1 zcbt7Ql7w=vI_eBM12}a$wv;3l&K$L$&bNKtUGbGShd>h4_s0;3KN^=-Tx6|k{M3ma zfQf^mbJXK~oq^m66^(lfISFOYk|Y#1o|SZ+b$lZ!2Qp)ybzoTeKEW?s*m;=W)ofbs zqF=jYG^K5xUB_0J<2sWUb^}Fh4mETx7Shwj-X$p8Qhe4E?@pIOeDGeb#sDF7dB+>c zJ!eH1hI^!Mm}@U`WY$*WkuFiD$eF zB{m{c_OHI~r`^x_JiPw;$q1&es%({BmQ08)0FIhbKM)(d{(;nsFc&~`FCTqCny=?0 ztYJHWIIM9b2%HCy4*3!J`oLV?qf{f_gR74se&)m|MdyfSuH4SOLK~>03arJWd3Pb` zwKAHzB-jiOr|Iswyl6(rC_zy@F%~o<*9W(= zNA@)D4se&&m!m7Gb&szdfF~1mGUG&B523Vdd1gyYQyEF@A&9*GvWPUD|C#A&qnE|OYZpk2E~%0d&}{Xd%Pf!h z-$e&(Jd#pRiI3hN!AXq0yNj#p-uPZjI8e;iXdpe^h>6aT;+7)wU0h(8XO?R&IppM3 zge{&#zYhvEeEuV~j-NbZ_aWu;N}0HcNGH~~n_8Foa@i88qQ67Aa?gNJH0Oa=jCGqyeE6D5#c|-o# zj0L8+IYg6cK3#&Eu+X953J3V6h;vkN2hTpo$j(D_9YohU+kF~PC(0=gX%P5sH; zCB}Z?h{^8lD#Y*=egoH$8+49axB&?KW#|bM;SfuWo%JiW)o_FlQBKdK!Wf_tJDm+) zPq;3^SlhR1hq3rEn|F@h4N#oT<@UB2?En|K(0lp7mTG-0WN}k^j@s3JRa_O-7)q;u zudvGCIxBCp_+8YKru&QL>CAa-@}sF$|FUej>-z{|p7_;>d_TG?aPRuody$FAo23cR zoO~D@0#iHV2|h-fMQF{g~NCe5OMAIaqZ_Y;TA3INljGYpI=OJ*zvM7Gh#Ll@9MMK_y!Q*q zq1a2C#dpgmz`%}C={~q65AWtnpefYq(|Z=-YZ-7|W_=;HtuwW!!j$uM)g;^E={FA` z6X&Poi#4pLbm@i`=zs58##;!4#~X(&9i#Y#a2_e5LB8HaUH$f40Tjw4ibL9<`$jWDh zFIMNmm}bSIK)LE5FeAU=Rou{?X}&ZqXej#Ibb?Z+c01zauk?r+H3nDu=v(@nl3rcw zhYE}ZyEGsNz=U(wJ$LiRMf0&bhMR}OVv*r7{;8+bgAu*yhK8AwyXg+qVi{(v_0b08 z%waj%A}Fo)4dk&Y<9ayXdqDR=w^%d6hY|rItq>JtPf<};V?4Uu&(@&y?+u}EcFEs= zmG7%!N5mJZ%$JL>hJ7-HOoa#`pJH-gKMzU??)&moHr_eU<#xJYOq0|5kSg+Hn9Ja1)_~b1L|W=RlKg){a$Sn zcuM>5nERT*A{W;DLJbGj;9FmP3lDLkRZmz%t!l@$ARUv*IdKnC7k!plEHAp<}m>M7mQ`+F{aHlewm@o_^$5dxj{ z86Ldn4P;G^C~YDD8HyE}tzWB|EosVFY%34k@k1kkVQcudnU1jZsN1HkNmQaQwF|?= zjnSgx*sh3AWf--I3wOu(o=4E%()#%6qVkN~nT|!@E$VC=O8ZX>>v8?_@CM%vw2l>yc`$sN5lmS}|2lvsQ1X{n|C4^7EbNc|U+sNmSX^D!B@!$^kPti& zAO!aWm*4~q?iB7;xVyUq_Yfdha43Rn;e`Zu3U_yz;+to>r+fPSWPW%3y*!s&`|h*$ zUTf_-NAv`_uU@UEA&}uiXiHim!!=HLLXOmFJ%P8ojeu3*;Gj5 zHsgjUq_*o$O>2UvGG9^UtZas8yV;E@oi;yO)hN`JOkR`LTQhb}nY|E2CN8^rk6pu+ zHruZWf^HkYJ=K>YS z9-s_w2D6iM6QdjVwl<+XEMumpF^x>wIfwjU>j4n(ooIv@Mh(p-WK{8`Ph@$X2;a2Vj*&yv70Zjq=b!} zzv&YH;Zh^USzuFY&v=prI+@HkmL(n{Xk)N`{-SdJ+F~)0`46aix0hOLy0c{&_pDT8 z+TqGMDdAyZ_x{P>U@r{Dg|=VlT}#03DE8x0c?JI&zS-gtTWA%g-urQ%{|5Jz_Vdw>x_TxjS&V{Zo-ttvBF zArQ3jCuwF(R}Q2}L^x8RtKWy_E$*t6+A)Stvlp{Q&*p3E3)$z_2_hyJ#wRSqUK8KE zc#VUD);y5X{STa^Fd0LK$*QGhCw1HKp-g30fHxzjUg1ZICsAa*mc?W#55RJ?TaBaGgD)c?NQWvb67{h zB2ogpfU&sdqgGSLF(6q$SeO)(N-1IkpW~-ecI(;$*AMYYgQ(VjgYK`d6=1St{Vah$ z|F87PP_k2XCS!*3oe6=<(bvo$!+mv#CHqf}#~<+J<$i8BD{H;#7>hA_sLE#e+$Fw^^^LE}$Tr!$Mg1-G2=_%3z`DCQ!s={d?3iG!xSU+}r?}wj4vsWFTaGSm zfgww|^(@MdhpIp)k0{7yR#&b3!;N|5hypB=9Jn1TmY6B)lBuidY_x-o!jHP6E0%)H z#`RmTbq%y(?Wy_81xg1U(gVrk@rG&KqXE;!^-!Xd8R^X1W?9%}tlA&Xm@U>VR(D(L z2fHIIJJS(heLL(Ejt463fvI7dWpg~)HC^&f+VAbd{OI2{wtYkD$U8}h zGE$uZ%4e;L7SRP~RIhLNIim5lkn!(XlS{SuScggoenL-EK3^)>yp8lDp9XMrCVvL@^8HQ#$y0o=}qUU=64aq*)x=*eWVkxBNz3x70FwsKUK+ zA_-%gH$uThr3U^v5wU z{-VqJm+FxkAE8XyKF2itXj}Gb-;Z(-um3+fc|G(gSU&g#`ZxVg`uOWQt(BNT0K%+L zoiihXr;chB_Us8|dcr_M07}o z^2^%>OU*8eB`AOThU`|jk7y&b&`fh>r(ZWGrogl8h;BBqCDYw1Z)_tgyi}*cP^>=B zlSC?~CS3;tn`egQu?lc|a&nIvn`XVf=i|Ewe)ehsu}|d+Qr4pXmt3`+bB(h7xA3lj zFH-(xm;ctAJg_pRf$j1{qU`OR@BOzus|wW$wb}n!*Do=hiHCzzY>yyx?tD7a_xu>3}!U$V)29oK@HYIL`|7))@&cc zkhXq#f6J1c82f_DW>n>;R(s?IX z47B!FcCauc5u!7C^s(&3e!LaI&4~XqB5xqU%Xj%OTRQ`!U1~p9pC0wSkBnM8XXKcc z9DO)Jks7!SPz0DkkB&5v_Wza=?O@fU)8QO;;D~*84y%7tSw)S#B==hh!H!|fhU2B& zf^~QJ&h6vtKquzM5U}Y+oV|w?F5IzFs~v2U)(CH{r$g=X-H(EyOeB$&7epO#{>Xa* zXx;mArY;WnhXa=zEbb|q^R4=t<9Sl>ekm>tsbwlzXaZ_xdz+7USvMZI_U!fYsougmi1(s88Vy`$xXYB z7=XKH?6Av1qY1drqyp!4Ry+Fgf7GWxKCs&M{Q01?@(cf2UaGR(avOQYH)a#x&U4Yb zIDU*=$TuNXe6PuV!!M%Xln={Td8Oq1C!#4IR6Ap9!zph$xkm{ToAM2mDGgpB_IL;f zj7Qk&cVHPcmee7N)|C`*Mm6CicfVngGm&?Jc`zyoDJ{LD=+H{C18d_y6q3}^R=eBd)j}Av*#X*z}n8*<)J!hvqqpg$`_~tp>s>p}f35VX< z^G*8(1G%A^#=A*tmR3wcz|4_c&w1sKXFd|KcL_Z;MJGqXELA+KmLUMLqvcPZ>*~}n zNnHPnx&+7UBIg^jm7URXb>?e4CTYk17>qfZDo-8e3GRhin_M`rQu9hT0$2JL+Y_7Z*cWf>YHY6U|`v zkArC=uOC7jz;cl4_KCzM!M8G38q3PnG@d+XQzH3;e6Q6T^?JgrMXxyH1kFA*X1BG% z)a$6;J~||d+E(zN z%ooc4mEQFB_vDl{El1{)(bz6mW8))bHu(h_-@tIOYP~ZN`WCan&ent*@XyEU&Zf~O z-A_xmpvy{Q5Bki>6nSR`Tvw?*vRcd${eG;bu}?Ixg-gFJx=cLS&yw%qpg9OHyJUPx zYGYIGdG=eK8bYS)ind19iq*bPQ zgc%>)Ocu$J5qbhKE(b0oW22sNXiQCw_$iHn}L-lY$V zPtM1}sE18qyKdJ%7#c&Je>XH9`3=Nu%2jLJ`T%ut<+X(hS2Lmtpwah_Knqgp!Nf05 zHTJs~D;EJhrY9$i48HkOIVZpAm_k>~!BtNhpc>8lasJG#5C`Xm$n6Q<*dFpo$E~SEHO7KN90D$U=GX1%@sJ{~71GzZIyR(|V@S z8yP|-YniM3LIwz~ngFxa7<=9*uYe2^+tn6fnf^0``rkTkcD}d`Hv03~xQsxiZQfHs z-g)I4h&ta%U60^oi5m1@dKs(8?J|!Eu4#1#=pik4aPe5|DKZK@uI8_!||z^~+aZS`D+Q#A^#@klJ6y{rgrnr(2vR{(G(=Um-+ zE{ek<+SR+5)pKuv2#oTKnE&9_CnVau{!s77btl+^Lf7+VUW4?H#aytz>!L7}kiiXo z=4OIl@9@FbD^n}F?y&VwN%DV>BIRHdEyMaLw0AI@K2od6W9sSIUhiK3W)Lmy8mL)O z9^vHyDBW`tvSAn|n&_gw5sfn5dlQqu76ek_i)swD0U_cYjLa`P`Rsq0!bf}?!EhBt^B zDHo<#eF~IEr+uzIhLlLQk-{njd|#ek7TPX^+YWt^_NMjiMWrsgK>E}6gUgvxp-PA= z*?iZDTxu{Q zy7S63)!lg%wT;rF-Qzz1=V#8lMfVrXu>VwZ4d0$qQtUj7XTNQz`2;h%FPTE+vu(v{ zSLb|%`kA<#x``L!`!!Q)Jp1v;cCTKx?R-mxxm4o*^O9`&ojcA=N!8!jIlT{hJk+p< zkFaR|q(QF8J@J?ynJZFY)++aO1Qdh8rAw}ufbqgcY|h`1D{Y=$xoEKKD3$=nAv!pK zWq_9ZkYmi94S_XS<5n3h(g#6?1V!D4Om?P<^Cf4PwWU6ibb|+NY$f*6+{g~gJSyyT z)gH^U`I{@kne>bIoP;w^uZf5zK^n0tyi0=TYjg}K`)&eDRJIjvD4HRqq+y2=^L!y+ z!7sV5luTo(Kwn(77F0t|i+U5{_u#1lCzJS!K+<%`DodV0_w`>b@G#?sC)Hkf8M>U0ONr;CmvY5nrRYpiXn%8|* zy935HzIk|Y{|bDo58D^rd@fjZNXpiyF>KP2K4wq~<2zJ};g>PZ@`9#(6$vT>_?kJ> zlnVt%uqNo<;bIkVk;f8|++=S^UDg=w@QkaRNCeF%Scck}-U(wqzR- z3aa`2(L4G>kAzmA`BYJzT<&_CK*-CV= zOmXU{p3Hz3;F&vt9qfHr9PA0z?`eX1&9o|c1lH|blr^lebuh?S^czNR7g2cuc2q-$ zdHO{uxtpBwz5)Sf%xtWzl{B+q<#u0_HwzhOL^>PQ2Dm)zLp3cPzp{>Xyn*csYDvwH ztTVr`ZX38_>N3|%+|futlC)>u0=f)rXcx7Oh-}%>+sCx2B_!x2vcd9xPwruWTJEWh zg+vb*DQurckOIhY%YuW$fBi*FSOpA*@)ffe*njW@)E|8j4gUHt`R^`#r()XfrDwP4~}bN!J4`013UXC)mSxBXY$k{6w}c z754FUs})9Gs$kVBa<02Gdfx_!+|)|~Pc=}V&Sv4zmWfE~g<~C|eslxw^e9~O`XfXe z=R>L2y&K(4UKlk8|5vWmUkWp5R=C}bv2&Hbb;>-}D=RUv$HRW5yw^vw5N|+Vds+hP z#!O{SeIT5Xxg2;4_DVE4S^wJHMk*sqLX6?v zj1GOu;53tf$G0x3qh{yCQ}2}@IB*WPorRkok>&4*mTE4caI-&3C-rqXWV zo44?d#YESu?j@++7UkMV@;zYCJMHjsLABw76K77opflbh3*>bb8{K+^E_a9t+nx?_ zf{kokk|4p^4sVggzUI+|=CXluXQo@E52s?AjB`n|eePpm5SVJ)JwNz^>x(KEAYCvs z?Neiz9W#c{E(A~xg}wLyOF0e z*YoZ@YIQb~;aPpY^qXIsFbIzG))Pcun)O(ZDx*#ZS&)!!|XBAMPRoxM)OCr zK~3i>&F>4Ac$~<#l&I2O3|uCqgIUaIR%Z z!xyl*NVEB=@rZfdrZmSb^z+m+Y^tsMzPRZAA+LkCg|dJi!RHwn9$QX086%RaSM8c3 zzn{ons@T(h<(ZpDMQGlW?w?UU$+$ln050LkYAEyJ#IR?EE*5+F_6XRh(bByLz$o*T zHD9@?J{ok8w#E4HqbliGpVo;;Om8E1FkiS^>}_Ks(=MS|BJCyV{di_Wx6GF>Jx_NI zI=){Ism&q@(urSC6Kk-dmRNmm&8X((#8p64icIs(kKC-GGAG&cELU#8Dn>mjLIPSi z(1ybHj<$B80Pyd3sy2eX0m;xq3z|(shWbzVyPpuMb@usr8E^9PCm1y&ofTu9(MrvSqgRU6y{GT+$wdOa zl!3!q>bv|?j)E=g1eU;a^Q)#ZTZoRs|44lDemGI&`0zRauzc5Qn5MJQnn`!WPPs$E z`wA5APi3cnoFM>OoeE!YnPn&&nP^ZI6N>>Wo<;ZOpadEHV5k6YyY`N?3Edu@0;sX3 z^M+ge##qCcp@Cr?T`^DJs=aGc!uH-~y0-%DGj?wxp|^-E*#rG#U0So*h~aF1+L7H{ zn&=)TzUB$?tC8Q9?58+f{@(v{U`@42O2YQ}iv!W!1KGEZBJO>7Mr5_SD&K=AAD6Se zJ`tC7|MHeV2GbYHuEwZU9Fer;y-*tN{sI4*MxrmAYirVUL+5pvYWs@J5j9isCsS_h z<}!gx4;F6n=mFA?;QFhgIBf@ws?iCaa#ifO;q0WQlGL%QwQ{d%QEAypiY?Y3_9dSn6 znY!$pfO8}^&m@*P=nX=_4G3$W6+{$$ z!GSe!?e7G4X6(32CLz>s1~pL|u(cZTdr@!CIJNf(ldM|l>rXCDj4ViHQ-H8p{>GW= zKJ-&SDhlN*n6hAITRD=`LPgfA`CT^j6cAljiq-9wGj-)3-IYd?%pkMefJ8wZX(7Z1;`8k5-mlH5;O8ZCAr=U6=8E%#=2_?|tZlKx$R@ z{de*(cPId}J!8*s_yt!umPWYmyUaZace(|r|5x-&n;tKYA5m-Hlze2+*7jeyFke#y z)KoJC(IB{e@omH?LjV5wdrFb9_Q4J>nw_ExE0j7Uu8Bw)e2xOXJMuV1+^MCWe5D_pL zYHLo-G{l-uakFq~6n>bdYP8HC(1zyEDMtHT8Yd-Yy%sT!ie^5e;^6?l<8BMGyGtR{ zk`798G!q}C=#zF6>0uHFq$Fr#Fq4xB&6L-AEeVTY?|YPmB}JCGwY|#kxyf^CuFWKG zyCh4`#XRa;Oe8Nq{|M%=Qt1i%F@khZ3D?ucn7_?kD73hfQ+09m>;0!a zu_m+SLT;fKl3CdAVux4=l-3Fd>TXdkYfOyPwHhp?piRp~9gngDQM9Cr$g{6)O>`~U zvL7;l$-?HctOY`hA6$oDo!%`<|G<1X&Q8CCeKVSE$*?^93u~FecIcqn>31UMQ&URa z;FVfbF!?R^mY&Y%xXCY@<8mMe*D9+!rdnGBJu}-Lg51DFb2pz2Vo>EsEh+G_qsh}D zxza*{y(d}X-1{)6yYRlRf~1C){kyr#q^=V>i*bJ@(>F5737EaPqAA_H@7v~Aal~wL z$BXsrYv6IAS|>F3BYBxx?!s{IDpFZ0Y=^yHZh0&UEM{H0NQ{7Wftc8lJnk+Tb0ft3 znXW^wmzt-VJt=$5nkM&7l4wmnSB5r*R40^tKr}sRSI}&tT9&jRX$n?mzsWvWy3`-P zehIqnJ1C%$p^erN=~&)$+R3#m>Px4oC9P(%ZSSKheOQ&8h}Wd)s({8f;m?Y`%BJTq z?Ow7NS|Eg1v({!St)pLIM!^ps;o`=SO{B*{1mV`?fXERc=S4HUXl%wSNUpJh0?%tE zy3+4t`WH5kLW7Tge+A8Ivw7>TnfO}v5UB(Zs{|cXzh#D<7}$5e#UM|&GYF;Gw?sMM z^K;c@D{Z@2>1Q!J;Mn+vh)L%7mFxaEW@%)6-MYCT;~J=W31oW1+BvT%)Q`Mx04Z4n zy9#PW3*9UJs*)aN7C>1@S z`Xzou%T$B{?tn|B9oJ{hG$FgLI^Q=<^=^JG>$byZcAhb7!@9D&Tg7f@6qmQF@5NN_ zZ!RRsJtC^1z zUQyjCt3^Eoi7*|P7pBjJB9A>3oh?RY5KV0YeV9sa$wFm-g{qBmp_ME} z^I)*dreiRpOUU>Q;MMO-f%DSwv|ul`O+u?EaZ5g`gw$U}>SZhdV5SU(yz{OoPP}pe zLPNy>|GrmVobGE3%6Sd6*iV(;Jb|_)hwjPZmMB!GjPh2t@GgmsyrPgm=vT+P(ZR{xvaNAJW3=#GX< zyF5>_{M{A&KVyi0n`eQUApbB-{_k7-eX#!DvG{i^o@c)Py+-~%|Mu@#{5uw~>5~6` z9eMI?a+ZRFgF|4e^p&KHgS&`%v2r*>j{yfqMq~>I$E_wX4`(8fHwvkCLV*1l+!t|q Kv0ozk0sjk?2V-*p literal 0 HcmV?d00001 diff --git a/decoder_waveform.png b/decoder_waveform.png new file mode 100644 index 0000000000000000000000000000000000000000..e9573e30b56a44180b990f46b99e48b681ada09c GIT binary patch literal 58060 zcmbSyV{|4>*KQ_GCbn%G6Wg|J+sVXECYac^ZQD-n*tU7{o%24=`~5z>daYhv+Pk_o zYG1oLTwYcT78(m02nYyPLR?r82nggD2ng6268yWw-yX{R`vK}CD4`7beR)F~hkd_e zI*X_~E7_SkyBRo|0GZj^*_hBe89ADm*gBcpIbVTv^8x`807(c7D7$BzZ@9Ums=W5x zTqOdoWUhV)3PRx)Kn9@FSn2#kbJkI-t>|uSr)pEJuv%78(`jGv(pu1IcNR`lOiT(w znR80eGCO?#Y>^NrKt&RM^3Dnk-QP^T%i?rmcH87Mo1(~H_vk|cW(l4Unv)m}6M|Yn z3<)5Si2vv2kvfJGpXiyu6&P8Qp0%Mzj-fbCLMVaz+aYvk4_IFj{FNs2BVBri^xrO} zM^F=Ml^9acVWN2$X_CsqvkJLi``q4e^l4>=7-Vtc)?oj(m5BFD3n-&HX2Y#6i)ZGI zZQQC5ksFg3+H?AW04?UXBPsbWBXf3{JuJN}GS+OcdU>IV87TKH6&%Vcq)3duCs_Zh z*;k_Y0RJ*;d>!)ag)LW+31JY<9Nm8jdZk%taPYu6izqR`Mpmsm(FNsn5sFh_kXd4= zCk2<4tvKT*1N?_CgcTO(RP^m=rT%3g{*h#yMS%rX2htxwwU`<-fbi53o5PtOJoJ8A zpFaRTTWA=c?YXrmi=OT8^~;dr?rvv`c8u(JS)~nu9Y3B&>xYE6_`psLGMrdRX{o_p zk&N|PP24k&c2dI;rXVp2i~*K`qPJ~c_jYh%XDJ=-OXGsle~1@UArdX!RAgMg5eJpI zdIwxRL0l8Iob=@Gw>bwPf{jQ0&7;V%q-cwl`4Onqr6JUrxBbutpq0vTyzYhAxoKg< z^6Cgipoj=4D?4tJ^$`Nm^Lb${GrWkaE8v+%!TPL;t?;G1mr|nCeZ>_BJwlh@cq(dh zE~xZe;`HfuZv00U)?|`Qa&i2$hoL+fFotY|J&w1%J4`Vq;K&l@o!`qcrMk7{W^DTp ztT&8sVL}+CdksS6gw)ZBESmHZi*ie#vwd$l+0y6AO8aB0xIIha`7t zVlZO>6PQCo1B1VGUGPMny(_%7x@c?C5KcI>aWHI5D*8b-16H+Fvw3~&IdFuD;^U1Fd-%$l|n)N8NK$35cm3vs0c`ewAYB3aVl_@!@86F z)DVh`)rD2KpD<71=Z|l-`%y~QR~A}E*We=W;M_v1Q(F9w%5>Xik{$2ZCxXQ5q`-cz z@Er9dfk&nDxg_ zT6`&R2At`~#idF}SXdOB;5tpra#eoqgyI{pJ-#=qsH!b2gJbyiRt)WTLuO|II- zbiiWQVG0M;2bjS-ond1;kQOM_pFl`r3X_#ajnpyP`JmK2nrN57Mhg$&rt~|>eF`f} z8L9vJJ(zSr8Wfz6u}8m~Cj|cIP{E&qHC>J2sgTpVg>Im$K5KiqD?T#`xTtty8+EDAoiG!de2o0xg=7voFd(qPwsH610|c5?W4XyyyIH>G5}p(3cUi&&eo2B?n{Q z)!n#L9oQU0iV)N_L=U_2!|XKO7KG~tu-FP<3aVjq5(}{d)HxV4DGNCI78ZqT2Z!Tk z{J5E`9OWHv`rn@y!3SytR&Jk2wREOl`TrQa!G^)E>hZ+NC}6iTSM40;&jBI($?#+a zbxCLVf_>oBr+(NHPPK5f`KE$_{`xF~eA9O1L63@jc+^6SY%x|VKWJLgxaTub4Xr(s zAUJEGZaoV+A8O1Kc1SqA-iw76Y(#vO=?+;HD;(Tx0xe@tzZupWn5h_UJ0Kaen5wy#PWBDKvb7E_+9FZJ+bd3cWCv{jvf84EbxQ##W?kk884+1d{)s zHWui0%OX1y_85iK^z~lQiwf?y6K8M|rHdt_J6?`hhd@L!f_$o;d7`3@uj#tZ2VKpY zH+ZB5|3q)rYL!TMwE0}!sd3Xpn^{-t%1^4kQdd6V*)+M|TV1)A>$% zs2!ZCHa1$Myvw8$L%7Qdg6lrTbN92Ciw!IZQ9+6BhNWWJfHiDJ+$ISKj!)X@COwjCzOQ~K%NWX8Q zVYhqr>`s8{Vr-U*AYSE!9U!6_gw6sIon4059sa7);$i+({`q|(O z$K9)j%HhU#+B9;oao(Z?PuHt@n*X@yxy@2W0lQ0_t?)dG1reCt;6=+^6@aC?LwWYk zq5HkR_z}m+CQM`VmLitK;AL$-tRf1Kpn?=*k9ggs^F>Wst!-;-hYwg-f|*HR0IxB( zhE52dqq;W1oSB6qT2KPR{_K9=;6T&K-3i|9uU)v$*LTs38&{e&3ccT?{0+=Z+PLma z==jayUVLi->{Efc>iW&~7PDw8W(4=RlRY^23G;;S8*d$LkHVtaaf8p4tu%Loo_g3z zG{uT3pq474S?_9e+-%*g%{)W?!*G@#mb@BPaeNEoE0jLhWl9)(;K1WA&N2vq-#hyh zK$JLM<3>1uI!L)+7P2dbsgNolEM-LwB&#*GF1t+pTzwS-3C?jh~Y={bVzaC6fcIaOq%mL&hAnJw@e;Fx-tTq3{tK8msX= z+}cWtOO2Oa9ivy*W=|E`Q^bfn8dO~badGHt(;r7ieI;LmT+09jO2-nY{K!pcx-{3~ zmpJRlV&eN(pZExmTOf4imDv`=R)=l2-LF+=?VCK1&~X!_z9&>4jvd>qKV=(g>%zme zV}GTQS{o2>KK9~xIr`Ow-F~Q@ttdA9t~ARKe*7_f=QR%|tkIqWl-pVZ%M(2wGdV1Q zsn^YxjLHgyb3eR?xyZq^W)WGpn}8n%hb!^^ER=GH9gTiX{}})q0$sMrF`1ct^~D_9 zXCDbIHeRZXLR1oMv*N%t)WrFCqmb6DGh9STVK|(GlsQC)iY2&+MW4cwwDH_zKnbYM zX{Zt|kECf(cXK;cth(&@q9w3gE43*RRii}_lO(s<=xuT?o!m!o`;XAS(jGg9wNDn^ z$wc?2IRA*2u+_^>)*^c(Z8o456~Yzbc35X(OpZzu6fWRx%B#ATv9bOrso3q7Qy{6a}#{~1~ari=yg9|$pb{O zDvuF1y#xWb7sqUeJYM7m7ov3OIKzMDzs58Q*>7)SSvj<21zGuON>Ch`)?qMD zLXN3;wXg+)l5bpYBqm0%aMJCk)U@DdaE!n<{W#4o4D52BoR~?$chj(Zk_qfV*SxC$AR2-SJQgF_Ml<7x+ z+1+zq;=V^O64QipSRRqSN3vKm`_^A$SgqAiZ5M>@?4{)NO+b*i-QpZZ<^WuogLhy z?HM%&it2tD6!NE6OV%fAIs-O&5c65t34XMGun^=psECOYzllI#$g)|#B@MuKxwN#Z zh?f;Jw12j+fQT)TN8H7QmN&b-zwR-;L$Cc{VQHa3OI2;9gAI-Qh8y`ZWwfgc1&-rL z6OO~|=m1n7%70NdVsq1rPK!1&t0fd&$?kAMfcLR`UvNp!1;1Q~RlO{9@Fz9L!7~aF zQF)iK&xqix(8O0>7^c~M_~54po)s`!+q}SJNc8h)U$O_c+a^~Ct;;WsQovqmQ0)W~ z?{h~0NNn`q@gcdFiUHv}Dhd}VkC$@d*2^4FaOv#9`FYs9q!^jtzGO3pBeR3;y2cf_ zz!nbl8PD4~`$)DXO2U%2nB*>wh%}9y?RGTx`V%V zF^6j)yCZyiWV+lulFBPJDt==X)LS{K75js7R4G2f(EU?gnaR^gIYWvkGf!977PY}; zm%ci-Z`MbM1gwa`=X<91bNj{wp=rU?DN5=qwpvPn(WW4-a`KH=nt#Ig2DiE({_*kg zR*jSGIE{$Bx{4{|h~b_XsHE_)5F-hnJw8NOcD|5FdKO!17ky40^RzZ04d}mrRR+ih zhA&5(XlSGwUgRJdq!Y6JHpBXJ-85AR5C||Jn_jBx>we`U_cFXsyg4KO^~4z+4JT>4 zA5w*p*R4qc+oIVyiU1EX70W@0pdg7(9b3@mTKMQ0&bH%UVUc#uL(J?Al{G1V@5Ams z?!PD7%oSA z#lHkUvptjkE+Xc&#mFqLwmn<-4lOj;pI2iyc48f;V4{^qJ1D zw%ubd1tE`2NfM8q@v9N+R_l+S7SgD<;VoJe>)$kA4C(3|4M0od5oD=hRjmB4Xv;JGds-`pB9w>Abp0*A7=yed&LNqBxIbsi=i%94adGjJh3pv{mJ43Rv$T=ZVddf? z$wV`N*Qd^b17{L-Rad#9!k$A=K>@LB2#>Oy8pePe1x8=EKgCoD2TVpO%neGpy;x+f zOi>HJH!MirC7LC>{$+Ism&oUkuxwT&p#pGS%BlqHH- zD_jB2eAP!`#xbW8TUMF#mhFo+VF@Bq-vJ5%qkzT>jhH?lC@bMnMF(MrRjFm0h*5$% zvBk=R%X|0>sY1#;B`ZG5Wqmf)R7m~50E5XonnVjFF1Z;(He^o{9Me3W9^V)Qk4FmL z!9IFrHeqWTNKOqL7bB;Y+7r)Wli+?tZd-QUZ1m*u@G?vFBnbkHyiGkh0)(E+nv2=G zf5PH;l9Ob84YaWZp~ox506gL_VHxTEfjSyPHy!GdvWOz973b)fknyiyWB-NU3V6or zDq_qW>>?`}cWkEZa{t*Jem7Z5_~kQ^_TSMZI_3V2)4od^(EmWS{kQf43=8>wNlrT9 zzeN_)NWh70YgnmS|5ywXi8=a;@@cjI)u8(Mr1RM7eRf1{ul^aTHU ziT`2n=g9wm*L%$Xx}f9Aynp2U`|GibT}}0c7+jxXS6{dH$C8k87H%9Q`8Fro0LC~@ zNAlfD6|+B&l=4FS^C)WlfF}8|C$U9E2pWPo$RZPD@-esgA|sCirqi4Yu-3(Qdd) zk`foGYki}G5QK>hsHmw|>pX~IA0E`1MgcaudN{4E9t9@Gxn-Shv+9@Ko3+J{|BuW0 zN>HDRS5}-~5AwWUyFVi$BS**9UPzor=SX2N82(J$!>Cs2)=EKnk{}5{_C}ymw>7*U z&*p~<6K%xQ=TCpRP@1wVynBt*<(7hA@_4cUS@~*DlFMnw2t~8e=dWf+^IYX_L}+zY zM)CSML52!t(ys>?2(K!(1Z(=qBsXu_HX~n25ft0OwRKscV|^~~UXD~$i@JEqJA1_@ zE-Uu8fhGFb<3oz`JxX#5J)YfQbe4V&dn9%_z(bC3yij%Y7dy92Rr!7bjDoQ!JP)xH zMd5ntx18Ux+2wE7aPQl%@p%+KVJ zUTraejYGfFY$y*G-4eJEf=KZPXqoYsMKXSPOOHewsVIOaC*@ zjlo$hJfIyXLV~(dtVo(L4~yzWR!CeK9j4Cy8t{FC&1-5x`*E19Y;KrW1*S6^gYqr2uIawjmfZF;ED`7UDP^@f$S17fe zG0fTg+IFfI4^~pUcij3i46{v+I@xZx$pj$&lQXvjwmkYY_PAZOE7O_EtBch!sK{M4 z(*%wj_^t^UqQ-;g*N1%PO|4EeriK$S?t~(v*XErmY4Tj&o+Zxa8Mi~7oDt!nFwxZx z4`O1`7(%=49KE(*-I!3}5-sa=zUr+34fhkeQZomiXSiOLU$h(A!{w1<_FUF5W7iJX zVW8Zus3^huBRP4z6YGnM9jygXHBd^oN~IOCw|(!1L-%LC5Ru=i+VIx)HwS)X+-T#~ z1qS;U&OF0vg9UPHYwP3{y*f+mEU_2}mDO@3QL)|>oeC8al$%$4Yu2$qEaHMS0GMCK z1@)?H`B$&~O2gsPp3>XB{KO?S1r>qcwY;Lz9D<V?d^gf`ACxnWvuriZ9 zct^yF8ckwn;PN*oPUf?YyWp~f@-5I_l5h{{Y&+*%4a#Ov92&f(%9TiYfiHL|SsVFlZ+XrEk31qceb{vx^K36RK zg}uAUvhO|Y;u&C~sUAJLRhpm#&=|7TeN0wVQtKZ94w*9_N!!bkGRu^@SA<9Jdj(*AYQbO)!{g38+Xbo z8%9rojj>iwSfMn`yosiczTe1Yx2P0>n2V|_juSQ8Q(zeLM`y* z>9Y?%X0~{`7=_6LUOhej`fxTnJ#F^+?s3j#K$K)sYyH9$0*foFt^H1?Qr1a$Io^Peq8}(DX^@~^2d~dt5c zY0Uoi9-MJN983_Giau+x$Nn`C|chJer=` zOmX0m;+go;f#y4!@uJcEG`f;I$jgM%v+fSPK|CorVq;C;4p`^@Z6g?!}7Y+xEoRS>yBf z_J@{sReSge>;Z?3DzNgefS|(9W}(7{U&0bHkbVrn5dq5<#5_|1njHyaZ484dXhL;9 z>fNWHJis1@T}JIPg&=qU@{Pe1HzogKD&Jz5g=?AoQ`7wW%_8%Pv3S5~r~SL#lG z2(v>U3Gb&fT@gcVkcg)yS+4x=zCPe7jtls9?b0H=d)&o^1^WlR>I+qkyF))fL{DaW>%9BO zS+IPzjqL)|OIs}e{s3Vtizid!jvO=bX?)He5g=A=+Ow4<;`HW8+7yr-+^jt!bmrzu zZ+m*d&B7d-^^y39P@ZC}(P-Co!U z)`8=AW|WcK{MNZc)DqVkyD?qwq$ZCAZa0Ne^mQOPwMO6gMvkT!`<)6tiwH+noWd9X`2cDz+6{>;GBc~Nww!e3I*rlX&U7a#6RqfZ8H|YX7DD-$N zl*)J~JVZ7) zgQz<>6U8!aK?$#JTR+K6S#;vi*!{PIEk=WP5~ew3jShFhP#kX9@jCSeW5&H<*`5>U zOUJ@IQ4~T@YPG8Mlg`VjOFS6xc2q!}aJta47niMDqqOn`oY&pgvYwYx`GM({Hy2Rz zhKE$Si5pFRy94dG@H`8Q(c2(Q?C|{QQPRO#+miQ|yWH@_$mIa7*AxDVFsTGFIr3%$ zFtav%h-5FhoSG0{&XgnquP}Ot!cJ%Hf@Jz{jSG0dx(GFp1#qwLyXG zO6>I#Yl;A$us4b~6Ff79>E4!EQ$#dEbhn+KjLVz&`WFV1Tq|f{!sty9q6q-vtH5+t z>^rrIc5tEo`MAa2{RQSz932SG=O55maLI+mjM5t+tS<-afF4jey(#GZMUugb4vVdp z=Y?FN+ljX`N_dgN;|A}(#>h-b@g9DPYIS2gjJ)1}rnV`+TgQ#U>jCmX-`TxP7L5#K zA?COmj4Wa85N|4XGQOF5DN5<>gr>%;GttFtxBum6^>P~?+WNvIaC(=_bh_+Z)XJ`a zymHl-exhUtgm$3E+qZY8nCh?y1HL9On@RHI0yH}%d77d!-q%jQI|cTV;nZ&=En%fU zXytZyy|}#Xc>*PSMUF4>D)nM3g$vgXTP%}b!t{IE!bn7bRV-Tia6|zhrqkI`6LbR- zFa9_bgMcVh!?-ph)eivcji4eDR=?Rzuh=jn>(>VCQOpAKx?f_y^9zaakV)JUO~(jg zyFWdycGS-H*9gV#z3*r|3-gd-`|2A7MpTtvQ+vMWCN=P>t+NJ&9RAW;@cH6?>QWdF zsdHdwck^?#7_Y-%%yZ$?^SSeAHgkgyZcCSU-{coWRYV*<+kC& z)rR+mF*t13T}a5wo8@)&#f2ahRo#6FE{L1b<3|$d#fsblvp3Rj9%EreD;x zoZgJx>GzHW*FdB8C)m=XIwZBLT`PiqYHiC7kf;y{%QI9k5y^g$zRKU<78h}N_qTF- zL2WVvu^3|4M~fwF5dOPVrxC<39Xm-pT#;>gGe_sx&nHRs@`;w~P;h3W9jwUeKQ`Ek3CU;&_(9^C7OV~jEXmKKQn#f&E^nF8>TCyPyOZQch z-RpBIjyHlh?LMm2fUjZta=d&U=e2p-3|+cC&A@k%Z4bqsN(++nhw`z~a9NV#Zr`T4 zOiya*43z<0z3vTs|2UCTS277;4vs6{90WN!93Eq%Qs+MUf#>sK#rE2}VMau*>&$v2 zEzu9IzgV{$?RR}#o?Tx=6mfboOsKX#4I5fqe>9wP&on5jJ*6K4f>3CjiQT~A7#eNZ zLhOozqRMK;=bO9Xvsw6ozB+Lf`EH!)j_Ai>4#cLvJ$Q7RJ$-5X83I)NWaZ$cWzOoB z?M)~ZVjxpCTU^wr}v~v zb<`svErbGKX>|9*676c$2A+O=GK#X=qjw3x6Yl<%+;RWluhYV&1M-!)&)a`EkCc+)0luz)AmU}PScUHrYeeH=q>F%2m9NEFrDa*Am{#PNK6 z$zUR+eB>eOv;MdZegufed#=#o`rEK!8;QmmjK+&dV|3H^heqpvho*^%wkF*YZ!Ry0 z>bMqm=FV=R(r(4%S_o2Am29SvSCcL6L>MrvOA;f_k{ z<}4ADro(3sjvp@U7Y*w+^;hekceu?2!WFumxXmiKuEe&3#q@Db3!gzv6+0ot=Bz_eaMTkO$>w~xN(>;qnzhtHwd=L=%5dA1rt5%65wsyXXvKftPg$MY9 zsCw(FhAv}O=Jp9HOzuGR|o`w=zEl^D~5PVr%z znoXtHAs9N$mKdEjz6+&)v<;x6nJ`Z@cM7P=v7|~f*Po9+Kp}h2iloPpLHdz5dU8x8 zJ?rIWKc4OqmHBCA3hS!a^h~Sky)QbJ=+$Da$jyeBXa0aSHYa-+Ar-OZ$!Q$+DxLULLy4e zGiDkM4Iwp2d>*6X_)x8NCri#D+Z7jH?jllGO**xl@r7&zUQT=BuN^1nXMB4r)3Wg- z0RDQUaT$sI$ieiU`Q>C)s^z#IQJ%Ih=0do}l72>t&o8Aba(*s$| zA8xyouco$><_R{8&f9Z``Yjd^@Ke{y?MS4UuaL8`A7t;y{$M>c=b!K&Yw_fb=x%qap!Iy5NxJI{} zYYW)9GMs);Esu-#em3EJEs;v)?TcRB#hC_XfN6-aTu`?w%w$uN;@$QyKHTAc{A%%# zL~CkeqFT2w7X*r<^Oke&o)o_O!}psZebX!HWyx3Dr;p#-6y+yEgn!sjQtbo~s|um` zsazdg&___s&Yfkg)+rE=3FPXlm-U9a42KOY-%{zmEMC-Pm?5y|sk!J-_h`UXWVkfO zjQ5S4#cP&S=2W5I=&+G;t?A`m$H(>u$+{o4STVJFp%a%mvBarIyLY(ra}6Sm21oYH z$Slkl?g#|@dMq=2tiJ~jvqcg@fJ(V)MW<0N> z<4#PYu~FL8lr2}MCG0!2#fr|OkhG>~Up1s?M|%@*^q$Ek?N!n@}#(^D7l>xGsA%e1|0x|`y``);vb{0&5Wu89>7_wp0E|zxM@kC>~$=!e= zna>9q`J(pF-t?;fS>c5vh+hGP<_k&Jt2=Bz-|nbR3EYrfreQYlCh;>^O!tjmYgn$> z&&c;s9Dk@}N64Nj=*QBNx0fAjelc!ei}6nvWxar(hj%M=56`jF1DCktsB)eDq%?VP zkTxHSsh>!eH;gpBwI8}lJTXxm*U1YA{)eC&_sNQ($%x2gjGpE=R6-V5<0O`7HxpV~ zn2tAR+OFQBefM!FvRS_gaO2wC=!|fDD#I zDu1eWvo>r3^FE)S;bV>zVZz9A4f-epZd++EW&7ojBz7^%_nI_gfw3t{oT^sE;Als-Kw`V_bP*jNEz&=>NRZ zchmu293%w=6DCG8^#Su;hl!X^>o%fU6e@#19sj_H2rvrWUyEZ5@WDFc^ZY$H_A^Vr zcg-_K`iT(uqB)M_A;gyaIPMo;qZahcIh(bV+O5j~fA$+EZ$CdZg}_8cI0CQ>yVor3 zxZ(5lPNnXf>!%tn9IM@#1L=mum|^AjS*(THXRJBT?BTt=r{J%^30LtwPQiB1a~yuf$-Zb>rKt+N=n;X`!4FP6S0}6 z9hu!@4bwXP3Hn4y)PQXvU)^9LEc{X`%%_Q#zu{N}4K0OCl_CfdLS9e-B~--J7g|Ja z!{6=M-I_A^9_I1@F^`x5GCi58O-ia1Eq61>Sed1cta9nohi z19%yvWbXk?>o-rh)ID%yT3SIjbCRQh`jf74Oy@HkmZz)>5q6LV>y9_3_*eHDnNj^*SwFkP(-S-SZDSb=T8E|eCCRw1f+6r*mNSg5SimpyyypY z^`rzuv-aivKpFfw*2GJK0 zD0GM94B+#N_CKe|kAO_kA3gXwf4;#B1x$Jn{p zeBO$AtX<#{R0vB!3!!`l%LWCcq2?6{s!040L^k#77sABcG1^$V@E5MEO+|0#E zBV@r#&s4sogn_Ee^WZn(UgpB;L+Tqgx<@{pq@1+s}6| z*n-v7HYxd3px9!8|Lh{hhj-1QAMHUc1Jl^cz{UiGj8_}C;s0tD>G7GaPvDr_W}n$I zMHN*1k$kCMW7?W{BB2E@G}h_M`9!?~=vl3{t>ZLTtS`jX8uM>2>o6}j&za!kR>I59 z^}ugzhEW<>M5PvRk>NI#{j$s)n_ohuiQSLnraiBi^Y z{$dt8+Vgl_^o+iCuWdOKx*c5XFxGi;9S9{b@*QI%3|X zQEhR{GFg<6f-5K31`*&3DOSU^K|*?F?R#wpllFn)d`n|sFo5Jsjg)J+qd7+oI|OMh zBGk(S8mw121f9w?Xlq5pKDkG1aq3(*-O(|BG0AaaD7h7sN6$M@Qfl` zal@2Po&Eb)yoVQRdWTt3x`)BUc1JfF5W9nd`bO)Ev5u=FNu5E^p4#9sb<^gZE?)pw zm+UL?1=?Nz)vKJ36BAp`O88&J410;qt>+UEEguho?mHPBX#Ml3ET|LW5Ilak=X=I4 z&kwc|&Swh{GRi81hUy$Hnb8LVA;!+$XZ{vY-^g@q{*m3Myl_|>0!JN=zQsM)sj=wC zn}lwLlAwcypKK~CXglh_j+0-93FS=Qf>BBsy&^XI#gHfaAJ1U(wuO$ea zo|3idm)BY|45u>>X~`&`KPaHU*u-Dn)XaBUPu6E$&NzwAUT@$NE&f`*=u$MOivk&d z{T9#so2SdI+gjquWRW%y)e2f7XJzP3HvHkDJSu&W_N{(f%TyenkG&|p2Ue#ozu011 zpKLeyPippQ93eq?TxLyxZjzy`(I^<6Mi zdG2+1{1zCcTe7>`v!gIN4?eottEb;qkan~I zFWUfvq{{Y=3hU1DAI4j}h;T{FX=ZJIixG{+0-LEkG9CU!2EgCl)N*hWp}-JS2QHou z-`M1cY~Pqd*Yg4Ii4((t?OB)Owe+n%iL;h1MmB&FY`3R(SJKv5rUW$k9D9p;lId0# zzkIq?F9+t8BqrH>)y=zf4)3`RRt-)5x^RU4)DStiJt%-V2~|)Hx(wjmgHUL2{@J?B z9YO9VeRnIWgUYw%PS+HHnb;OhdJGqx zNVtjth?vcsF*^rp@>LVxbcx-=V=2=D%p;04$9b%w8fUqR6pyo2=#3Vw9wo3c;kHxN z2pS{?2}^z_GpkyXD%|lU_ku%oiz)6q?b*(%-#}c&aOvc578d@j(?{nWY$?3HA{%FT z8eA=dQ21PAV`wGCXJyA|X!xH#%*rdsWp4HUNxxbnMu!eU2*bS;w!XTTki!<_19WRV z`7p-4^VSxu+}69^sEYH%cXh5DSWDqgj(|qecWaCF`@ij~5NLLU6{`FSBzpjO9C|E@ zWJ8hLj|Sy)+D=JNc^ksrO#`y?nMLFCy9bLN5a(hr+vbfzXh(FR;O}ph7D*83JOqoB-T0^UMQhIlKeYsYQ zKMv#Uczm^<>E)q;^mOBevo?BJx-$NPH65Wa{`p4BG&NgytmIft>AS^#FV5>G4V~W! zu4+aH{JiIZm!a21<>}uE`lV4mpAoqJC$L#qJBQ)Da!mWp%*yz?Ll@eFFfdm=q{p@c z?uS0d2?0Mt&7>Gp7*f6|!`VJq(BXkD?5OV~SJ~_dA6q*Bx!q+!6oP!@ZKg15xe$~` zTTALB1AX*yWz}%i9u`w{?G@F$txurdj?`y@qR-_)x$6xLm_l;0ccsqXmoBpoJ)78S z*L~f>B6G;Xu$k+5c|NxJMOUl7y}4Q2ZSYdSt{tM2eeW_t9lo>2z)imy00j>GeiK!% zfD){xn;n>c^Rn*Ro{3rf{$sojl4W5yE7jHw$S~RSC4G0zHAR5u5yzQ+i|!d1sCqdv zkAjPKdETMj7JZkB%4fPecoJS);2@SSZk-Rdz5=72K5oJQ)4J;kI)s-AeG$>hiia-T zH+f?;q}*&96D8L4M;wJJhoEO#LI8W#C5ynYH4YO#<9F8b1e^aL?SbT=K>zRG-Rr@G zzu9Al5LJf9#9y0x(}L(pqe*X{l1qP#Gs?m#ss--`71~^}O%E}IchD&i^Buz8vR>vC zGi@EKJ7TjxAH`l{q(zimCT?wvVMmSoz2tU|xqc6fhCW&1TB7?&9E48)VJ^Z~6#+{t zLWWIGtg1HM&h+vv@lk_(5|`y7OV_x1&lJ-(b6nxaM&0ThzoTXy(sf2zZ3Am}Y&TLf z{ow|8&@dl*eKl-*^w=@ZLD(EZkLMSY*RYq`sApJiMj|HFeYg=!)(XOWt*%m`tpxih zjdTuexlS_0%NrQE*^y**e#Gdr<=YZ13ElK7#k)_ym`vL6FkIt(t6YsyiZd2@_^B7O zDrbx*rhlmL-IP4SN-p`awfpYFY&@f9V8P7&tT)vCXbu@86~S=tNbctAe|{HMHd!0K zxmrk+Ad5zU{1dbpDOqiJg-X-1LR~`fTGoDQSY2LXWiW`U;Gi}TQMYm|gQDOmS3*eG zDN~gB6dQ!6NMMX~`=-6D9prJyeU#;8I+fuy#W~GvaXXkPZPqizF2?GV%h9wPkaefuMrCna7nF6TWMj`l@*^n9CChcPSImZ20!s zZTc?$0&D65Ni2*ncEc;+*kQ>6$3^a;=3=dvI6nLMgSQ(|y7}x<|GF&sWw$nT)wQ?v z-UdCkUt92UD%#rqVW(}U@|ZP;Q|aps54qdk$rK=dPKrIkd+g-OBKqjqrMFY;p@=N_ z(Q3V(2=T}!44NK$<&_to@wYm4t^xHtkFsAA+~`*caY9^wUTha>=fT1H!kEZf(d3(^ z{XjDT9jN4!t(li785jHeklfa!k=I@6Q2+jMH1D5E%ii_PTdiP=h={P~==-Kf%KPa)1czBLY0bu1v-O7<&#nb#yFI$ncVd`^E~5GPP2%E(L<8q1y(SC z#+}J&+!c$8lXT+xFYKeKs~3a!kiU!FrhxQ3gLkSIRCq+6b_2ScE7>C%l-R|Y@U^j- zA>9<=0G^&|Mkwcq`1m05YzSLy$JkZ!eb4^Q(guOUK>(7Hx$jYewbuo2ycd}frKuPZS6b^?ENX_DVOPVIW3v`leUd{g->Ju1Wiu3eYm#Vp|71yB{l3sx z=Bfe71l#1B=R3FA4Ts~S{`AI}R;ADJvsBw2VRuFR&)faL`$&c#aBUsCP*DGz1&H-c z_Y>}%8kpYNo|WU_r-0%Sn>pAeWNG9)_B_(+K)S)QlgsYe;=Ku=O`}`x8aaus;jf;u z(yd&kZ((-p;>9~FPe^(0k&XcJvKEu=K2o{lytB>;L9r9Yp|HmX86l) z<_?5RD5E)RGeYV9?wY1|PhfQSe^~puT8ySTNJszg^x3wj= zS258mkwULLg-A@S0*Y>SG-d{rNZVfL#$Ra}?e(inak*({j9;6Y9{+TY$hAbzw_gtlu*i~o zM@#wb@!{T>Rr^L?TO(t~+lL$tpUFU5i>RxN1XFS6BBZR&F00jTnapd3L}}Wn)}U%h zquEU4!vwBUCIP7u(WvRp4KvPQGVU;d|bF!97hp*Gn8GM?PSxba5&r6vI2|4qFT$~v7yGLF{+9bl%fUM*-}_k>#%Cy12~4ChF}jh&qk_+@*A@53&^8rSr+H# z1v9x-i0Z<_dD<&4p_JW|w2yjZ@JR7lClt)@Q*M}j+?F5IzjTSr;cl#cs-Hsap-d+E znOic{>)tWK4jM|{0<`@BC(GtP2=%-315lB|suu>Mf7Y+$Nr30gYqVI?7!Bw^%|LIH zKm{@z&9s&1g)gf@A5J%pxL}$_d<RYiI6f9mLf*DEmX!4na>?9U z+^G~77%&)Z;@P6-g)~;HG+<@>A?^BpCiah>5O?j#)H``CvcyU{w z2ACL3B%&jljkuE0H#-9)!<`N4v}ovDaPcgEx;r6HV6vTTiJ(-dLoNaaH>)*DKxS@* z$L`0TZTDV+&3agP5eN}ITAaLmJI22Z))})#@_wCYi7hb{&D8qd>(?zs8Qx(5(T|Vj z)O}E$#U98W8-A1KS$o?t#Mlyc94TZ8M;2a4kA3-ISfPR0jiD&$5;vx<=A>1kHjKwc zCA*Wv|3jB^@7g2gURN;@jV)O=nPr0ueAgVJ2hf~44LjUDZs#1VY7>Hq=n1%{K~hCW zhh7_S@l*s}@_i5NLh_>@3duWm2R^uYuRb{TpQYtr&UWx!lv^NPgauZ+YviT zmi!FAbNFH!;+6N3^3pjg_Q`9w*iu~%jh*XxQH(~PoZH>w>MPloAm~i&16~X4YUsF( zX}?~D9HWh;k6+Jrw>GpRVG^`2;jVS4Dt|iFYq95j_ov3%$479;=iytH?_*dKpdL4- zFL1`PMD3?n^O0`7xp1K7>BcYx<|6+Mr?x(U#BRDi1x*5;E5D1VJ*L42ZS(6n^@Y3s zl5J}peLNX2)RSHT-5n2WB{>?6T66^$l$+o4fwK>o7G(m`vzt8{o2l{a03a{(=nGjq zddA*|K$|lDU{?hqiWQ`BfdAf2R;Nuwbk6TLa7TYs=W~jDHDzq1>UZVCIZNLf4>@e& ze0tONJ@ib`h14Xxdr`686oJvB+h7~t2nF-400)-Uk{~;&`y7h)UI%*DZ>NGe{hr^4 zCR5#?yhO5|;PFm7ZIoJBf1Z(%&<7fDux)L~~On<6SO1Eg1 ztd)@t7PX4@?!nc^bBAN|T=_7t{&I|O+ulY(uWObXB7bQ>j0R{%3lNd~kixj6Aex1`1hAgt!FsxL1TMMkfF+1Z5}I8 zcAG43as^vFJPB^orHQiRO0KYiscvT4)pAs@&!;8VLN(zPhby;9DllpJcc;@kxFZ+w ziTet!7+Sz0-YitAs~Jj$+LJ8`-|u52453M4NPixMf7PZ8n<|re#nu=H8!xpa{ifmb zblt^XEWJUT=1b4fRj+g{rsU-eDi-M3Ca!SuxTBO+jhtW8NM^)~i`TAlnIR7f<_%Ra z*BU51RVMXm%Zj{O0pAZ9HC}UH2a)wm9I1m2H?Nx-6&7|B9OrhX*xx1_q=g-S;yU1A z^4bBh;Z=pbNlQ6Sv&g#fOw~t&;h`0Ax(mx9se%(ni#L20!oc=|tJI zOqTxutd7p&ll?Lu$qI7W7cY{~d;i;huS5X7SbE>|YmoI|J`WbW(b*^x2SWH0^`u zQEF4mwHWWfK3+b7ICU|wNojY;eCoGh1vXwMq>9k+C|^(0uc3I+-19$b{+Q+WYbx`g z6?AJV7OnRaM`3NoSY>WsHZi8!-ak}XBunegH{E8>>67-$`rECW*I^iAuK zNS((U?ps%LXo+ERj(2ZCxA=c%XfRri7wYP0(=$O(~~eSrZ0pu0_3ld;O^f?aI43 z&$d`tRc`Uk+rNcFY3tHUH9aEqxl9Y{hSLB2K^g1!M||w(Qi$|9`(G8{=*GoFpUdG3 zt8BQJu{l)>>@-^yXKu^>n8Hs#;A2hOQz`0^xropd2+FLzNdbA*)i614$q7C z)KM(r_IKgU4{U4=kHN%6I{SeGr?|w#o}B?Wv0Ce^y?e~9gO%NBcS{($C~Pwm9uH!< z53T7OCn4))IjZ4L<4;G&q#ZXlHf}ilgUz5-os3DkNPfQVd^9`N=G&I@Y7eoeunM@H zL&%_&CX8Z$5>enhc3HoZ&t_p;miusTTkLh=Be?8R&C->8f6mtj(h-yYfJnK7e>sCM zbhgynk^r+it`XOiIm+=4ablW8)JX>xh4rTfEU9J7p2;mlZ?or=REO=+)`O28!qJsg z7lfi9+vVGnvn=#Ry5MaXbbyj2+(xN2MP-fQkOwOtvj2lKiu!-6OgNk2Sk@YvD9=XeSyw?xo&J*EAlhu-28)$_+@BL!?N=Ob zdBu+rPk}3!L_0@t0|Ut5tx(G7cC=Cfj;3CiCmUC+6n6n9mWuuJ>ecLq!U{kUPusRN zWgwn<3VJu|;nq$@W-Bqxj*5oncW3l+Q({#sd+6%zTE`hv$Ik7I=~tkBo@({fA6!-# zxA|5kcm{c8Ugw`y{pUhbvGK8G#=a^}>74jY;eKg|j+Y*_$qcC4%`@t77#}q7h=bJt^ zjoRup3%TOaAJH8E;2?*ew6{luINI(>76+&4$_VYXK|oP9&_VG?5qhw>rq4C&?I=9i zQ1lu$0&jb{VZkL3U%#Bsn~RlIu77Y*rhaMw`XzbIJDJZPDu&HQVj>o1O=%bdCA;I>XW7IdXEeg+y%jV_tv&2bs)-p2M-yYdbI4ev;KVHF=Fnii}AL)}VE2-xcQL zaDcSLDKB0b{d#pPc5;-mi`_C>MT;al>kHG)I8VpNet%Kk;a7J0oqPI^Nm#$)30zG{ z7!~9?8XW|zDqE^$%VEhTAmDIXM#eQkkc5B-i2rh^^9%$-RcMG-aj;;5%pddjf zuE2+?6!3vVK(OA(ex|)fcx8W$#B3Az$p-t+i_VT^;|ID|jK!-wU3r<3B37EF8ukFJ z$+8m6FvaHuj$^taVUJq^WUVKHnLfAliMe>|C8kZG(~)@tFt%hfG5s7_XUig6?ly-E ziFVALt_gj_Jx3|$TTJP2wp+6ips5lOBwl9=1s#MC!@$()Jeh)Mr{l#WG;uv?96q3p zu`n>(jw%4R(ZO==rrLHU(N?5%eN*jws|$W!Z>%>k+=1q8kSQtORKAIfmNWl1745k$ zl`b3mYK7{}l?H!VuJtjKonN}5*8=fXp1kuFZm->a+MAN14tzS5wXjWIuwW;Qr>Hbm z=c!6tHKI2Lp{W>*HLfHOQGJS6c-Ip*KyKwQs7LI|OFxUZc|08m&qwg>D7oQH1YXi| z|IP#7a=W!a7y zl|vjWdSF1a4r&DIxqC~sr1VdzGAsA`K45N%PH$WfS_*ixj{V>gUtE8s2pks+$FE7e zuz{H`H-;B9y$+A(C`p3yvz(^@UPvkV{QGquLq6mbpEG}N9ZFu938Vn1z9h(&tdWj< z#Rm6jTMG-uFoB3z`RZvj$5iu~wb#j)P^ZK`C}hh?{PX?R#sopIu#(}6o}QjNZ$X?? z4$2Bg*y5GpSy-VFFM5pxGLh4x)VTcv;XAMxICCb6BEQ6Xv~Kd3u?`RsdObNy=%NR! ztsLy-H#krK>r^=&;Zo8*>1_8>iw0Af55}oM>C#H`sm( zD{7*m?&VPX&-58vN_}~+VBM8_R)g`6@;0x-R^ShsT*w?BpHLtdpgD~9i3F3xaN~0| zn)ZQaT-}NbJsLjaX6=$~$qlO94b9?JODL!J*j5~{?0lT>^h2RGJ{bVtzmVwUt;72+ zmj3xF;)sP$Iy$hz6cov_RLx{qRNC(c3ev?cXbT)?CvV*za|*pCE8udP$Y;aWLdbCw zQ^`CyG|N*v&WH*8xE`oiQ`pfIPU#6besN69eo})v+-%Xc%`LPAYuD_$vyjf_ET3(m zGDv@MYu#Bp$BLB2RB3O+e4nnCw9BmChud6S;w;6Sw<|2@l~p%JoM~ZE5!Br8UsWwc z);Z%F0W)WoE-hLdSySu-_pyyTOw)TUlpHnhWOxhMwMBwyV<{K|SYNDO@ig-RCHM<; z2m9%viq80D#`m#j_yOoRZu`;m_!QDPc)VH-JaKjSrI4r4>90?TQCv;T8axz-^_Vvq zM9EH+w$l532_nB2HIyvm$vL%}K7!R^XK)-^+V?}~iGdV)f^G~QD{pXKPi=?3ZJC4> z;N(nng>ak`?D5y(OaVWqUhsLkY;RG*YDX=KeaQ*{^AA(8osZyju$+Os2zod6;FdhwC7HHrZ~@&h3ql~h$RaM)zgJSOIb~`gO-ueUXk8> zgb1If1caWjgwpd~LjFpkMlZ*%iW2eC!q)@{WIrOj@b zv^lwb;H(VE*Kq;B@Jgh;z;_SX?h48|G4SACHJylieXN(MP({(Qpq{n#D=w^VnA$re z>9-)`Z}W5kS(nsm;&zi0kEzo=lxCJKYPGC6!UEt~?h`kZ^u{M7m9ur>1SsPRcXWhQ ztMZI08W|bYsBOxF!d+Ww-!#Z*Yl((HUqv(vz9ZBQHPA?+BCyau_nnT`rPhI%_Py+N+!r+m`Rq(G+^woDf^ebeAk0JNEA3(UMVJ`Lk$zy&Lp< zT`iC7j?8x2jPzmcE4a2LUI!6;Ghodso{>DE=w#j0oeybu=2AuTS0wHDSHFOo zQdxLG+R|7fNFTrA1cF4NF^8%C>e}7ZOjgidJ{J$~S9yr}YBGc@-g=L<+?#}=)$7B} z;y!ye@hJEQgVr8(t0w!;M_5ifdKhh)&SKs@$tNhF_yG1H!9?QWSzyP&>O123I{s%C z5%vZ+jOb zyT*Qo#K<-Dbr+_L8pfYqCiBo&w<3?Ou-ce@nv@^A^}+9rk+R3vp8cVH(mc(YXGaTG z?zs|bUe}K;x5L4YNPqpQ*As?pPlyHenmj&AjEfQdp0S#R=MC~ZTrN~8x!H>hEuMKi z{N!+ZP5&W6}YFB=QlW4$74^9Pvo0pBXpzcIEzb|X*XJq*Gn$UU`sli8x{7C{xeZdtve9Zu++~? zm&;=I+79z8Ja4WzMfKWI@hFq;*xsJXHXDmiOZ~}@_R~R&JmF?W-IgTi8`L|$K zvnl$+j_+0K`Ni`pS!Rm_UuAY@UcEm8Oq(?3N z?l9UgyX*k~K`~xpx~w6Qr=xu+em{2An+G--3$3-%!s0C0U1dJRJIwcA4kGuC)9&6VG+$?wpVHM4d~JcM^z)iiOKH&^wF+%JbtKBqj0 zCxYIU3XhErcIzHuiZZ;768}`y{W0WC)VC52Um&Q*x;c;S!DqCOgh--z0C)fQA&2AD z8$dmJYrPMTSl?8vgT8miiaWTEFp_wji8&bHFK%(F~=zX2sx&Kh-JAS=o z`hYh1cKk@G@#*J=G74hacfI321Gr$j7zlS0FVMkP)E~g)96$Ys!?cPC@g)y-t?lAR z$=`u-n_G+5LgGwng06(OyZjxI_?|iR>BKKi$r2fy&fAMl**2!gHCa3n+3?8sk~Rhy z>PhS5QzYjG)a_@N`J;{1H53RXleBz|iffFZj-)|QI&nTnIzU;b85paKx7Tnr*V=OSE)lim_9lh-42`jYB6H>f&|7$0A*!x>fecA|K zB2%jRTtz55s=Yl*&C<`Rwl-3lRk+vpZcRDHquLa>$=@yKXHyr+)TycHKJ3JV-d z3XE(r<1SnQ5^=+DYY|#TBj#pg6_ep`l7eGbi*EgP{K|e@S=YRl!$@vfgX1M>`t`Q* zMNz|4_VOHAjyVP-BcT^fw{ACt@z!rfs_Kk|is=Do zXnhFyRbnSa84wfS=67PT<7>ubG^t(W?k21Lc4SDZ>{qQKASQ;|3XPEPY+%``8L=3$ zhV^A-A`MUYw4x>4o*)6VxWRqGREl;VP?Wf>?wQh?0Fo}yBxZ5b(Cwj^q+v+Ebv$vk z4Bt%;i{S2p0ehy{FXc5a9LfnotXx0AFw_Qy+oQ1-=nUu8kbi@Z<4UXUq;irj7cpg7 z8MV~DS%gc@$gM^(xg8`=X>=ew$Y9!ya+%ODuh-Y14yqwcYGI3)kRjU~wy*l*%UJ5* zyKF57nh^33KvOr{!jRq#I8x|pwt4HWN+|_3C^F7AK|iXpq=&KeuL2RA%e_b5OeU75 zuiNBlZ~I1YW->8G3($g6!-}*~KS@p*;&%1PK{Af2HwI4Cqv4QE3 zag>8u>#C&J8$Nt$xXBDI$1Wh@ZwLXay>;3`_3o(;&#%G zF>Z#il7?cU1uuBk8;u;L&p_7BJ3`riNw%1{oP5<0H ziv>L%1Cppv53)NNmwXq33UCoBTbT|s6(g^F{8I_K@FkI&8)~B{s6_Yy+A<9zY=@g_ z+gR#;Ba0_=fVR5ZNZeXdbi%O;dEF)*29`{hK#Q*c4svqE6KB8 z-Qd0yss(S4`>YE9wetD4~0Jb0(bnmr}i|-F^hHC-A=a(!FJ*$?H<%7Oss6pnlG=|0MQw z>eREtYHdkUZxp-kwr=&9diSe8wCF%{RP~rSejY8?tK+`mWR5`sXYo zC0>wo4|=b&PcQF19rPxL-$=oE><^t>PcgyrN~F?G_n&i^IT##x<90l#{KC*xe zF<&)4z~9xiYQ?7WbB;wuDM6dVpnfQ;TAB8342Uv|iUQ^rBF4)Bdd7^8j>6r(K54HrVFi4fvM*5cF!|Ll z)kU6>xRbkDaM&7F z`KvKz{jG*|4w5c1YPTV|1Zz599g`y@76}8=uY4VW!3OqPKb?>=naO|N-9}c{`n%%r zyRjs)po!0(*86%zOuk;i1j88Egr6LHA_F{8O`H5ZEe|J?hqKoJt3A;{5LIy0n zj$kABx{)Rj{Yx`#+zy?Z=hkDjb;maU3;2 znaah*(6VobBlI;X$hMP-tw}LC3cB6QPh58rdHR53-_y<;`fBVm(8Jw>4?h_ep!3tR zEf?XQ;3p|56ler*a#C4ZMyA2(WIE@@1bl-ohE@xQDDK1iGGJ#p$^Oc99@PH{8L>DcM!0UOzpA5%Ri zW5;jHhZH;pv*ysPNSbEHwOltx#Gsze8=W`ELxjv5-0xsd70MJ7)B+lYBtMmUV6fFG zgF$*M-wa#!O+JDA_CoM!7xaQ??U6goocU?W>5Td@`z8zV69W`zGarc&G5eQFHo;$v zY&(0%!JR!JYj$>H87i%NqdAORXBehf44|rms;awcFu-2l*E`$FjH}e$0d8Yntff?o*jw;QH&)|dpi?X+~3SJ1}WB=1DL1JkPsi-=%kB4*u3 z?tG*^GcL~)<}4pn?&OB*SpdImah^=m*J>wg>AKz(MrH|Njmv|Z z>JgWiYo9fJZyo1JXy^Q^m6Lu>U#3D-Jang-X&5=G-!T}Svb=2770e;%y_yA_+TlFKGes2oK z3~qOO^q$OHyVv8FGI@GIWk0yYSKVIi-t}{?b+5SdwB>l5(-A&Vo{?e?4a~IFZroVi zTb;KVyHzyozteA$yFtgqRC+H=&01ABsbBQG6h!Gn`1>3g(irV#cW1gY+kNTO=Q;N7NJ`Nb){8;52IvE49RR8#mZ{%CUWld1^_2$Esm6u*Sh`toKgv|Fq`{@DF+hWTXT!Vmzgd}cXMi)%f z=J3~lS5~+DoIOVH(nFU19Ow;>tAS}VB+<(D)E!>q!B3it8gk73cniWv%wsI7&t|_k z^SVX_Se?G#Uo=DhfO^L01v_?+-n_OVp)^4Xf7_G+J=V*Hha`@jGwS-$Hj~a8CgC1e zLlJPdEWrm%lxYOVEuBR?nf-=bOHXoN4nMJ^&78WGv5LmslNV5(9=J(Y>hy3Iem>Hi z^=p$41>lSSGq7ZRsDta4l|QZW?BVQ<7+?Y(%L}TCOI~~qzvSja&%PxySWwl@X0Kb!cfYQO0@{HwqhIQ{#+9D;?rHKLdVyr;;Nr$` zkzD)aTgU8o$mltK2r)XNUG%}1zNA)GDPUI( zbX~})KxRuL7U|Oa`1xvLS4NtkUu((i0%@%=%VXvF6x>abo9D4g3>jC9d`_>PkK^H? zXYrGg1b5cD^;-{=)?bR3x-JzBdOkFC`nb6%tQ4jMte zJE_*3st+c{2=)?OlG1bKReC?*M_P~vaXG%WiZD8uSRC3!*>dw*v`xK{3Ya#rb|js6 zmWpIfE-Z9%$Ulclw(9fkK45dQ-Q)*07>eQ$%5W)~`t@oLyG=97*JitroFbZ z#^>ezpixl)BLu@VnP?NZtyhg+I#cl6?xaB>v|)H~DanP`gcBfBG?~!LbC2yHzT}vA zcVza0Ke%pnP$H|O0RHUwE-}n8g5}SG9%WzQvV%LjOlDSlvn|s>W#Fhy4 zXy_MSR}`Ug|KERF=<>fJ3JPVINvw6w43sGuD1157CBNvvNHvmsLg+8#C&Ur#h`Y%5 zk!ii*_93ee%a3icLAAs^-QRMeCxul{{Ad%4d`36_n>_ks# zBQU*4yneBah& za8m!-7YR-So%dBIa9*+EM9HY#0Ie|`nMh8{h*++Ds?55OZ`9SSK^?G95}`5l zb9S;mz(!=Vf8iCGO4E~yhfXJ;I$;;W`Ba+5n02p@vO9BsK_oSr`l~3|= z?mRZ{DPPjx%~3}(oJy2@1hehQ)?V9*`IOVVlI^zg z#r7mkGPz62sBHqwHRC;gp;`kcP6Z*w6Pbf}#+a1>MEW=f-gBPx>Y|~(U{h+5u{c-d#>`M1TbWs)v7ysh{kzT{D-tLuG zi1WfiF<62g?=j(<+1loR4=tZV#-7<(?gn&9lQco&JG1sWQ(P&?X@s6r9m_0l!y@yD zY1rGVY^bK{syAyvqd(oh|Je7*ad$Hxe|$kxWGU1hba~uYZ)Sk5)_!a)SD>MtA*k}S zbg{wGm(X=bwRIWxiVew38w?n6cY9$c%v740=Z0~%dqTDLO2k3doz>|1vKW zW+36WW01b;2@+dMjpnoN@Zc@RSFhD`Wzlg*b zpHy-3_fz873j*?e7)z!fDE^o~?bD#PEedOZiQ(YsUODa}VJPE02V~}#_sExwcmtl3qh{Ff zSq|`iYdZ|i`}K`$*bTNg=x;5tKAEHHrS;~FiZKDd3D;xzBHG?p$E4l&y?plEh^+2O zWu@<)S%AeER1E#+XvBk_MK0eP_i1?%k$4H7Rv6se308tKBOTbmG>?@}}LByi~iQ5D>Ep#ux6wjNCaDdT?HrIz-V_5kRI0+|u z#Fcv{-&aIPW&X{1%=S`!RNN#=)j|+^pZTqljJv<{MriDp>Sziq%wgINJHcl)(d7gZ zUe>RH5!F|&F;YNQ>&l#rs5^i${PVthG4Kq33euX62rUevpf*0h%@<|K5v^ZSAhuPe z*Z$hkcXP1~hbygh#Bkb`uYZj#rs)9}IFuX+5?cM+8xhxQC{cWIdQ;|RY>Qsk;>8?@ z6Hv~*$OeX#Qdo~7fwjs{m0T8Mnp15JsmiG*?F<+^p&2*K<0AVGN8F_cX|h2hku3)X zwgj=Fy^|Ou+@ZDbr8U$*CLwN^;UI328wj0QMe3PnOTx_Be+v}1`1_o{w8T%=%;$flZaFcV z+J%v)|A5S@n|NX0mnc4q@kxs9c=4Z{zPgg7a%E|2Yeai}e4P{ynksM{`t2I#yM?3{ zV=j^0kf6GyU^0FjSSEb?y^0ZuIZOjaVHEQsa5EHxM^Ptj7;pIQjD&MY>&N*stqPU5 z$LAr`(AF8&^{%~G?mDgVDnsh}8sB8{VoLDg+p(pr6u@=o`(8x8S;bw$vO8d z9+Ujy3V(jHeq(L>!fFa3?QrsTz|aIOz1wHAC8F;oZJc)mEiHXrv*TGtYN!7pYDjIe z?FkmVXi4pPPDXnB%rO2P>L{r^pL1sO_+x&AGNOE_VZ#%B@y|@>Pn`bzn$G_h_z5+* zp;&Sa7Z%!&^2AsDQ86$uoRDAa(9ssoJVJxAvzETjc|Uu-D;1v`gPx$4CqSNrL z9`s-qPE|*TWd9}Xp!J`Xi5;TN32ss`bcX-JH*5iY$9P8QLZ4lA?z%poa6eT>CvS1CDQw zWd-g7WptRU_*F6omCGL?qfW8WqmK` zAk>u_yng&4yiY>B!fCSZSn=C4gg#MhY?xEb{ME0dxQxarRn%GOHSzmx+k&NT7wX}E zD%=!9K*==}KRB}Vq&|bAyc(E(OixD*yB8C8`2CNLNX9<(vtmrLJT)JgF6LFu9=U;~ zFH^vRc9Y*#fBOi?_2tRHpzK>~Tl*0*gxp~(Ibi`gFtLJ*E!6f_GT5IC>x4%rzP&~Dso5Ki)w%74$B`dZC?e6%Sf`;~fU&bR_SxaR= zeFRg1H?l!gzBlM)?FcZ#TIkL(@#5T-upaBEk0?b_13>pEDOB8JPgkcyHWIf-&B8n- zx6xS0f2qXjZQJU%JKN}y_}s~L+S8z|(8OCI6kJV^j`h2*zZO@z!FtS>3%D)zFfYa1 z`Z71tqg*Gd9PQ*GL)1Z6(R*isYaJQ3Tc=$z?uXO)-920dYg_a*FIc{@odFN{q5plP zPA!4Gc8VZvd;cBFR`S#Ja}tCkA=IlthN(5(JD-lcbUUOu5iTh+yMX>7P{S$k9k0~p zVVF#V$7bwMTBqj+k3qZ0Ol9JUzscTSPy7KU63YpOBWW<`W61b#+Gj2>YQN(nU-FHD zgChhHD4?EY-h|5?#rWhRh-M1|>fckxP;%7Rdt1y<|LdrQOK}U1^kY{6)URNlUtV*y zj(GCTvOkH4{Ef_68V1}1LZ2&vrc_9aM1j8i{EHof-UffWls6u1fr2LDpZa?4aSHf^ zh0jg6zqz{gO^J`02dPp1U+^F{{u}l_jJ!MtkyNuwS!cYs_*0$+w8-N*($c?lE}D6w z9ESofw$6I*V{=rX2K!u|^Y&x4RJdgQ1$cu z_}^#*J0^7X;q4x6E2C)Qa%^^PlTqyOLIixN-CO;Fsh*meG=D;g|5H2l1sXpCLoO9m z2a)slA0v--+s&B0Iu}0)0j{##Pky}a)^!3xs$BQ%m1aQXefn%&bs$Hfel4#xz+8R^gum5#dafzM*Zr>2B1g`hpUW__}!gWmSw z{>#o(^sS|6=CjoVdH5GEW%t4qCLHHz7HjvrXIvR5vQ?bI5Z1xC0JF(<>-?HBxzA1v z8Cmn9kME8P)Yhb|Md8l_1*!S$IO;e;-~3u-na$)aJN@giEMA;D!Ms%#&^Wp>UF>T~ z+1+hkqjWvL&u`Is)+mWq82?<^-`_tIvm>YS1HEhD&D|aAkHt0MW{JH% z4g2HMLnKixW)@%p3FA{9{Hb~RrzZ*&vTmG^$xJv?=xT)Qz(d7zk77XBpXX0?_sow4 zWVTLuh&;#6S1Yn~eil`S|MlfVB{{PH?TQT1_}~2>JNA!(8!ybM>u6EEIzS~>RsOZp z(~b@Oz8p~^;J5lW{d0`o&)|Cw6Cjl;^V9U6%byp}wNti`FKLLF55~F>IY-!ajB~%O zNUN_lWDE!hK>o85{6a;|{>|Jw!)M(5ECYQu_i%^QOkd54%LAjCODD*2{?Q z=0#vhM+-bAe8uVp;J+(tPwie9%<%o7~kjmW?Xjg#Dlm(&YgsGgr5QW8V zQHw+TVwWX6Ms@xk-6LkY3$39-lmGlYs=;#6v=Rn(={$x|;cMSaNoY)XzEs&n)^O}KFJ z1QK?Z;-%zQdk(`&&Ri!}xqo!03aDx6OojRl`aB;QzSWaPX$&>U^%+Ye4j{bSw|Nh* zeOBU)kwb1FH+XTokfJ%jRYkfnWq*5ayWE!StPXc_P4i?4o4LNw-N^kQgxfXA+cH$lI9Ah+GqUGbE)xSJV;>31-fJsG!5!_(6A!;Wt^4+K> zJuW16JDPg8{pzT%z*ILt0o+?g+cP>JeFhZrbO7P|Zq;B>O;XY%72|yM=KNPKz!L~a z=imJ`efs}TrcY&%Te=J6S&~{~4M|HCg)Zxco3n4ktDV0*)h|;RADh}LV^tPZ&o#B2 ze%rLoq_^gz9&0?7xSuN+xMyo{wrte*4(VLAH(NUV87sCsbD?GeZ%|nPN7MF24{B-n z98qmgb_KOTO(Ng1!n66Z(rK35X0$W;m-GMHD1Zohr{YqS&do{4_}!;v+r z1;+`S+4=s;LLp>b$uz!D8Fbm(7JgI)%*^|LG4?|4u95RWZyYJnTql4JzPq$X50eVQ zvv)veixK^$`OGRx;vG(GL~PNTSf-cicWC#|CD!sIRI@*)s#pQu)@}rX6}|j{%iaux zKCe-nEnmKRC93E|U+U|{Gq6C0@;8d6r3@8|>KKXiW{HZMqa4nFFTkwN43axL`E!}~ z*406PH0_xSaQuYI8m3|OYIqIIy=J()w33b zdEP@DUcUZ!;IjQNu;jWnsxw5OMlMh7yyVpgW=TxTw|$?3o&@3`9@^uJm(p@!Gn^37 zY!yh^-qtP7oJWNOs4ZA~KH5#AlnI-+=rx1Qh=XIyWfW&x=${2t_6s@<7Uj0R5sVIW zuPaWR0LHu7*5HYlYAO>T=y+a<~^bDH&8Xb zn8dPQMt&!_e8_J^l`H?M^#Dn->c#A2DHC7Tx};ISyRb0Qz%^#lhDZN<@Wrlk5WURv zO-Uu_3bs?f4`xxJ8|b@CvaWKxSjTp-ock=Y>%QPlFnXC{kuooH^`$dtWg(xP zYFH4lkJD~jQGTZd>v{Zk`A_5j=4vQe5Z`>ej8mIY86SVa0r9cGRhq-fwt!j3m7mIg z0j{{mk6!A?Z1k@a6!>($y!da{FE+MKdLN0euQOP;^!T3Rfu^Z7ec(?0M!9P0bvH1x zu8#J}?v+cRJ0A8~m~nq+&vN|bTXpe}m$P38)?B>&Z}XiTm^ShLn=St*!aB)+-Sepo zD%&T@vbLhzI4Emfycf|k6=waCfablb)8khz&y)H%24(8;HS%`(-pgqgwxb|j2mCU- z#-jcTGZ`o4tPV#m7B}09Eu|K>-FQ8I6{(8njY=mJx&?*8$lf@?>?92jWnymp%KvL@ z^(3GfCZf__dfzfN*ZYO%-8RAfSY6$MU@z*)RL%x{C42i~D0nm>24}DSVn)HRu~5UH zNCVA**)t7rtoUoyuL%hD4_@-q(N|4!nj?|4fm>qhdcA*+{~Npb$HH}FjmzM5yJa#2 zy%(n_+@Ku4p=ii`OW%~awv9%e3kpY2#DEf#s(;wRDJf{+b)$4q{j*0M8u}UTKknJj z@cVY=W8qk~Z{5w&nU5n}=)GKds&>{*8b_wF!d;s!h+O@Za|;*n>qPbc4^c zz>^s&w*3oRrJ%Gx3pMKv3HIot9XA>6!=ZPY6hi)9YMx1e%7CZr zs_*^ti0nta5ms18$__`hH($N@_z$bHAF&CrT_;uPYoV0KhGG9FGP6um699M}R#w+d z8*sSyJd91fgV$G=1dM* ztg8^`F)t^C#4EpMHK|ePTu$qdSp)PBIvQezXl|T4%)T501A5SezO2l4r6V#>u(I2( z#l*hzz&1mDQ)$akq12%fd6~@cx-r&X@RWWgn5^rspsQ#pgkIM|N= z#ok*0#Tj&K!Xa3&kU$8*6Ep;u!6gKOOMu`qB)Gc{5+Jy{GXxC|!5xCTy9U?68Jry? z-*@lbyLa!(|JT;mP8CJMNIo&Pi>5Y3rlQ=bqJeUrdGcGK5XYSJhvs;*XziQkse$8@^7M(3D)dGLqlrAw_lzqG*n zo${l45Ve=bEi>PqdTo0$$Mnm;DU%~wqgk%Pcyb@Q^k3faMYyP4LU!|P02 zZ4pz8zw<-}xOR*)Uwr65zaU|d)MiufLJQTz_nu7d@*daxx_{0~DBk`1(PgUY&{8mR zWu3$eMUg$i>w}M(wbx|SaY(1%2bXiy94*!XE$6ri<0g-UUtZKZ1WoSdeHlj~IQ1Ib zK5vh8-lA~gyL!C1sjujDkJgrJu>OG4Pl-y>dBgL~TZy%4%}46eiabWRn6J_BdLlMZ zc1<|mTj$r8L&c}#)qYHvtW*_S`h3669B^n!Ua>&UgMel zT!N29F?0e{1Vf}8eB%OVfd^9_lRPA&-*L_TTeAi#@m?)oR(Wh#k7Et&!ewe|B6oK- z6CQ`OEPiciMSF!5D|vPU2QNt(@yqgV98$*&WmU$AGNNXzdH)d={T8aHG}yx&vFpb5 zJIK~}DZ<(pXs7plEE`Zp8=OAOkV!!_#hEi^I#rgw+JYQgv21-}U+q=d15~cwWDN4| z`>GtGs{BpwxX0w;46lC9B6jP1oVspgH*lEhT$R}I6f+sj;v)ia0>9KK%zyH;wE&yXV2(8{?NrybB=?|5c`^U^!fCDS40GW+k>Jye2;-49 zxlE3HAq}R)jU=;GD7bzlp|E~o#f_fgJO??>;%45L8AnG3Ho3JgET4Q0VW8F;{;@?Z zi9f}@x9kxHaz1D&{u_JvMGtTG>DEi6=;;szAStg2rml$7nK4%3>w%$H^D| zBv0+v%%{go_!+~dw=Qr%*XKP#<|Um76HMFQEY#)U9L}iC@h>$n=CIV!8stji!!6^T zn+<2qknrsxf;Rw${ScKx#tK_fY zDf%UEzRl3=t~P<<<-6H~f(m(hhdeCbm5L^Q`38vQyK(Y5Q5!p$6rpjnJwWBF+}z~> zq+);3pFEYWO=5l+t~+_K;hJQl!8%Es$c{{L^v4_kUT_1Ny)o$5yi}W)TzUjg#?YYg z1GU;RJgFi5y^hT0sk@90_E#6hVmFzmf0WqMm(!LqB(Lo7^!d=9(@o1KfH`V!5UP@# z{aY5X=f1ri*595<{9yONrTww#$GQ2qxW^xt>@!u)qE6u(EWbI;@D_3Jq|v^oY&SY@ z%uZEsXx3w3?VSr*Z-LB2O+=Z|5HE#Q#2gN%oY(|>@^%%FcfD_Wv&d>ubyrGN=jT>$ z6<;Ck8H?^}7CY}lXllP4rd>7QV}JVDy8+~1PEw#Uc&@!Nkr|?VnVXbp50ucLSs3871MVyDz52)!Ytso=u z7bFLh$UtltTfq->D%<>V$bGiI(d8d~5wLh?NJIc_8+!BBuaIrhFBcf_ehFdPRR($f z?FVP6_{T2p!xeXaw$KbU;JB_~Yu!u5w`x^y%Jr0)-$z}dzYVVMWuINWiph-w zJ(#e!Nj(iNX*aQBAXzpzs$1%swZ;WC#HYR~{HCr+0=)Y8dPKZ3xNVk8E;sURe~V$L z$dfl&ZVM$J!B#wIjWI>1LS}>@Wcc_q?TJ1f;8P@TYF|YtPm}1)_^H-e!x??EkU^b8 z&!bfq<2u}`%!NaiG_Cyc5D^G(-z5}xf1BMg*c}6%tls*kHln=*N6f4p?|iRn>m_tL zV!)i8fKRLVupDc;BPCkC*68hAz?H!QMu?l#LsD6;cO<`QTi$eoj|>qBI3@V$c? zyuU#3RgH#kGAcIuNHvmh@izGPmVe%a5)yneIbFZwxt~ z^=8SRwit&3B)^x|Ms*g#JfN}o&Jr`r!Oxx3h%)nE?=7mdqmPSS;f(m4mr<8gajPaL zu2rEpaT*TkAR=efrrV&5%rueAeg}HNIb1B@P_j;D? z#C_(v61op+a%b>)YG$^uue}Cd+mq5@#yv5#K(yzz!_D_V)lhpYTj-Ba*JD{-%Ms9E zch%?*sNsxW`T1RhZKH)KwZVo&k2Lp^g%X57ux&RJCX# z7})5Dp5ajoGZY8r!|&}ix(bx@ED{$7zNMc<^W`QmYJzlSh`G4zALea`smI(eg<~1g zaOe(My{n6i&l@?uoYZ?u3C#@qXm79M5KqJ_Ju}nsV+5x?EY@S6u~`*RnY@n}Pj z{sNKZeTVQ(l**pYQ?OqvZ~dF8a4g&P4l);dim!>4b$6Su=!ST93b>!~UE9yR%NbXH zqTJ{MU1@g6&itbW|MI&p2TMA9U6{Nmtt(|&kyYY&CKoM2X*C|Sf2vp-^zaZw$1_q( zVTyK@XyWdHHaib+Opn%T&DJFN3&k%565-1w&4PloQq6lST>TKDKY;O$O1jwsBBjxl zc=oFl?sW)%41ZHvVb;K(0uj9D;I{bj)xBj7Pu0TUblsGVnWek*lILM)(vw-0(?b)L zdx)A>Mrfd5UASv?t1Yx1!l@_x(@bpialJE`_1e4CPx7YSU7JO$p}J*+>_r(Rwyn86 zj^-HC!K;s5M*>YhjDv`EM^>IIzk5}?r7&YksCxWjX{}&w!4Te=RCL{y%8g{w^ZdGR znS;u!EI^O>W(mw0Ye<@|X-yjPC@lCo@K>oNJ8{Kokx8fB!T^rFC+p=BLot+QriR^L?~Y z!NV+7_|Uitba^b~t;|t%D6iKa*EF)e+EWF1N2w4lS`%+xa^CKuV=vXx@UNv$iqgHQ-q{%X zfdhBuBR^hju<`~U6W9pvl3M(TZn4*7lF_e!JZpg8hQF|(CZ=dBS^uCY8X@kRBpUFP zK{rPB=T{9l$cX^vPkTJ2QES&hp5VN)Tt~YlV(D2d3DI-mx%2I}OrHAt6Q&r10d-{s zBIohuv1^j2riS|F+Ld@A+onF8V$XU7^AWYZDPm*sE#6TC5jGE?-p6!EisVRXsuw9`DUasw0u~@I6=yAC)X1m$P#Y1==izYm5 zVuG|xJl952{#vyxz_JDl1Z$g>OOU{R#U`T6(SzTL9F^-4Y^kSOC2KL^?H*O0mc{b! zhlT0HcM5XUd9vJM?Y6Jx}@P&G^)Ba*48?mL=`u)ul)Og zuZDaiw0jy2gTJf2o*f*+AN4pN!!c2*NC<2}5UKS#e``$8-0PrBsyf6x(l%voA2wJ; zBtY50dy3tVu3DKcNUQkQzhC@thHbM}b=fcVW(RMGD&CSNi)j*ScU~4i(HPz3?pbfM zJ?#}c5Io~#`xblN(Lcrmk}4AMUfM*Xbrt;yM>}eDuKs|Fc06`3vm(95#~s+Nv4*_8 z_1J8T+|y$Q-APwVuj)*gv3u>AQ{)NVt1c!jAN#%q-AcDG9t+0~Sad_v{OWXRaXu!q zG>s_1@ywAZjeDf=mgl|uslUD8TldP$z~#k#-wjG$${ui}y<7$)t0$u&Jd2tL(W~Ra zUc!~Ukd-;~y`iDiU`ra!k{k&%UzkwsU0&o{k+YJqGQLW1Sae_NQD+XJx{g_Mh!FV0 zT1$#fgY?Rk74LwS!JA&|`oK$4Ee=EZNubGlpYIm5-f)pWf~NP2o`IHxGWD)vl;#|R zQB+=%VmYzE)^`*`=NN}REQ^Nw4vKSxMW>C&qfgH7E-77|hW7mltfbs_e*JcCF+AlV((~)e%tBg}~ zY+*{~)`Nxj8rT<27rdzXrHW9!#vk}o&?Ns^UYyUV#V=*1c#VV;YyNbX0YQ7cO z=LoaOAG^wJX)lbZL|8By!RvZSRrZ^V1;LC-(=LB0pbo^;?fw*{NNV45C~x-`RH2DC zP<&nRzR}b1->%>tZGunn`|e4|=g-a8*aRvjP1aa~QHv){HJ?8~Ovhv_FVVLLIV0r+ zFfl`Tz?Ppyjf~=zTBzkF_O(TLi9GJke-_ldMz6MSxuY9l394*sUr3H}(p(>7o-EJ$dcUZ9!OFY?#!Yhf$Q-VenV zx?NYK*%YfqR&)_y2Jf(DG@EpJU|k+)7h|>IiWhn3S+@Sl!>Fb0;%l9aZfo;m*xOW6 zo3N%u+gl4)18S`f2%k;{7h86kth(+Ifn)@zL&1Tk~2G`yY+u1Yv=ICtAL2iw=9VzWo!$aH9NAe25 zP+j{fWE+j7t`94k%{hg5*(|jNahRg1lVQM&dO$ZuboH*X%lD8s7uy* zw2W-5S7-2jLc*E~3okvh!Hp~S*PgPy9%A!V-WdTAiHMHyX|$#@!9H=ZTUjM}U_AK~ zdd;}qz|XMw#oaG5bsMFcrRk_}I+>nG^?9fphb>WnB5~^e9wN^G6+YSk>0D1VM#QPJ z3+k01^zgg}gi-@>q{K893q|QD-SfJUvrE#}ot))chdZWU%5myrh;I)ovjg;-!Pcoz z`-&nq-=PL3qR`u;C9cVL*DkIYI~D~VVjL#=mD25Oo1(KX{dq+t-}Klnc>V7v5#5;K z_tX^T6bYY*F}AQ7`{dOB&WZl$Jw6_F!ekQB`&=3ZOY$`ZdLO}gx-XDvAdE+8=y>hh zsLmL#r_J&jy2o~1ggBU^5jY(+(LE&mFuIukJ-pfDTA`$UBYR4$5=6xE()tG<+Bsi) z*RKFnr~fS8ya?LuaZ`BUUBiYVLH?k@?CAyU(L|1J5%S$G%2W}u#{sZKHBZ`FV)-aH zvOIMvY@~4+5|5IHUqHhx^{%S?u3A{WijvR=F~MhXVwt(yh^QHVM%z?VD$<=bW5&WJ z+<&>5mUq`wRy}_?QlY-oOBAk;xlNg&C+Hj4y_HeJvD+Z-tEpBjEs2#z0U+1O&Id^Y z5wkk3NWWd4RtW+7!8EbgROJO7MYecY(RAowbzhm_D zl>?@%^lvzX84`Mg4wKN=Ux;WyTAxl;B1k*wd9!B{Q}lWS8c$uVolX~XX!-C-4%E}`C2q~QEfNqUGp zJp~lEVywZBikPFXhvLv-(akZK9q)y7GtKX!uiyTNM7-%g_`3dhl>yjM8a4UNtFZ&g zM)XPh*H`cHrYea-eg($e_hV662+cYLEP=(LPaLU+%N!+Zhi=Ib6p-M0xl{==>eacs z9BEC7Lgx1$SA_`#6V`b&5fl^v5TDIoe9_#zDw;Mf`aW>!Jy`3|yzXB?ZOU_S23Wiv zSF&<5G`;UZLT~$DKvBC_7N!&~KM;L2_R{L*Y3ZlI-OH6B)y6W`%JDEAd$_VzYLsgI zgjTLRUwcxCn={_<(X|l!R!^P0kEQMRjTuPVU!bLbrt5}OmntbxTDPmB*-(fI`SSS# z$%7^teNPPoi<_2l3)lNP`Z{n|pj7wjal@3oZ?D;*KgYdt6zXe(Wl=o5J3SKB$-!ay zEHLeZocwStsdU)yU=r@ljc0e~)A0`u^atw5r0=zTSP3WQMT!#9j^{((60Uy^Y+pTb zynn}?+57Bj#@{&>5`B;)!{B}5*Swh%wDW47!NJS?w>KkX73nm2_tk@9&viI{Vb24A zY3#0klKSQ@VWO(5YUOcH0|_$2UL#i%Yce)LnXY?FP;{f#IgF!O&=Mc<#+F1tW$K}_ z91c*Hb);m!VU7srnmV_C9*=VIub~SHLIW<07M2&SDZgCkr>LlJ?D-M2S3;z{6h7H= zwZMIn?9o_Fw9f9#Y7bQGwuD#XQd6q!N`#IT?HJH6i1bcS+SIMd3TqI%XLvN#XtdUq zI+(O8vtQt?7G5O5XREyW2HY7ts?&!t)ObtI$un)Rz3iD>Ax=+|*&3-lzC-K}pkcf} zcg?MqXWq9(d+{*quycnjYh_Qy<|2hGHxXbzVOolH1#xw1o*g>^mEfcIhxKKAF}r7DEjjW_JgOKHeuL!`fwY1h0y<+@$rNdjdmP?<|hwjkf2hfz(TlUi*d-8RCKN@N3dkE=h&4WQm3F(p9l=StD#U|Iwd>)~tKWGjqGORCCKGw$35m4@*oxeEufvg?dL$T~;4<(7m!e3*D z8va4M$=H4;s!7wPfH=!hTs-1{bYx#2GL>I7a>h5M{&gv9TL0JT_-CY%pq9r7BQ=4! zo1EOH#3Ex;qdYa1m|13i6eD=npK6+BY}2f1r`V~M7iM`5Az9lSoPolbmstZuOjG?Y zl}u()`^oP=!*f`6kM49Lnb>wWfP>5UZJj<2FRs(;puou9?Y^feqfs#&;fL?+${nn- zWf$=!ENG8EXmtarin0B?2%oxCe6KQh>s{r)CiQ&%*t*FeKB#vVh}{D)n9HWWOl-Lx zMhf!iB8Yj2--QLJRJ~gd)-McDtix#j>*q8T4j}#;+@VXcqsOsPn$AlC5HB&{?luR8 zAfhL@&oXvQLiw<>>I9`L{se+j5C_Lt$NFPCQZJ2X0=;IF-Kf$!K(?j)YU-*RS6?gE zph+L=%_e^O`H)sI8t~kX#+GRqCULiBW?TpXsQM0`ch>y!TOago{HpM;{2hXYt!;Q* zm#CO8k%5OM`=M)#F0alQ+KadO*^9vr!sr{#8BBT$tRlbZLS6M#UHte=-1ZNvuReiZ zTf*tT^ky-!gDubIR9+dG0z6VJHBwvNf<;7${g;2I?B7|I=JMAFME>NX|C4ZqI6KO+ zt{;SqyPNhJNyM$5sn<^rk0z!d)h>i`q%>J?9)%z_h!n-?qzO2=7a?FU$5ife{L-BK zY#7OBMQ)2^`2sn}}*s3aJsw$_nFKPFc z)oVk3-vH3_j?EYSkb_yQJ9&~%fy9sa{<=QT-d~N;{j4+N?3Z>%X2g~&wq+?q$6)%U*oax^fBKf$h_$R8!Jo`?UErE`n5NfjYj-t-{`C%RDN@{>VHN>4|JG z@Dm03WH0sv!(}jT2T@7rS|LjA9-A>JBEs+)2{7)5-{-2tZ4-P}Be~Sy1kaRh zbemvbMLECz(e`{r3{p^hXiS2d#hk0O+suKh-f-NSkbj7bnOSi6eoxE|s9>)c{|6wK zW3dbOE_*MAm#FRILoVGv({h&bwZX}Di+QH%R|%!t@-sBFF`mXs`)Ibl`8Xbc!)N@3 z>Wzhbet}|n?%Cm4l>rVk|FS*`;FReS(aG{)UEt2LK_=WO_G}&tRGO%5^m(RrO$e4v z)i(oiyOkk_I|i=Z0J)|1Y*L*s> z-lORJ^LRqav`P6b**CGxR_Ob%^uV&oyXtQbrm*j4(h8jakP%#7=}!TP{G}!Jt%*+r z3c03`AG~Jz7YYr%s!`0fz8OD!Bn9YK$u4Zq(&YhDjzx4Y-SXld+jSl1;=2?)Y z22DPyvgRqNKlhZZIdoRUVtBgU8G2UJ+1Ic-f^QZ^wvTkqFAwi@UQ<+rZ;BYE;aOh9J5IJ1n} z(W{KcoJ^9Bg%H;GvCvjMr zu6&Ngs4A>SbL+i0YL-%f; zSI$0?ggLj)veHII#)uA}9UDKY|5Du2-X3vd<9OoUoJj7w`a`k(_))ahSEI)4NLDL! z_=b{@zv;NoA>G5R%D<;9qy#-3jqNRR)Cla{Y7!QVy$8N5apz5j2~@Q3=FOUA4GxTb zSNT@^>o~!h+|Q*7Nkktb1Dcn*_5m|JEZ_oRR@cp^qKKP2w55A9DO*R)Xy}&&Z)}}c z9Uc+}y!h51+C)d^eX3r7rOLg@-1l*^LWMa7V+5wKG|^ zH=pP`^No-&y6n1dHpXS9xHSa$MHg3KKf zX}E>3{u_L#1&i5(tEm5{-UD**GcH~ut0>j@51T+KQN?$e0N@&S_W~ykUZPv=>9|)- z*#k|Qk!P96xpq)nMVXJx+m$DfakQqEPypqZ8o!u@n)+>Y39?ileA>6pd>}<>K=+fV zNJ%rO$6r7<+hAaIuQZ=CERUj8=PJxRIHI+(XUPJEW{Cm!6Fy)$Z8dVR1J{0qe=Z-4 z?|nNnY@38$J6O!_S;Fip&Oc&nL6gI953ZjmjD!4-B6I%+hnGgD^9)Ju(+8eceR6=Z z8Nd2>9N13}ae7i*-<*WS zdINXIflclCUi<@-tMsptS4{Kkr+et-44I2Aj0I2j^SA;2;j4Wuj+Y)Kl26POvTpognP$wwe3>?{m; zvRwrJzJx{>PxBNQaN2CS!b2G*Rw~(c-}2KZchy~ihVnr&1q~O#9yPb`Go(zBOHnah znY#UqRs*&+TXPY7_QCpNN^YTs_R0O?i>>awc+76>lV{_JQ;qzfW1SWekq(wX4`*)O z4N)h1`xd}a($zA)BjNQ|N){zp>H&DEiazyJc_Tw<<9{Apwf_GG*O$$bJ;%c;WBq~D zAl$+pd*Sf;`)p)iQN&2cu*wuYrGb_sk};~Jg;lOEiBtPT7e&9p{GpL!U@m~nFPB-( zo*?2>L#-bzF&{<+8yn0()iwqn-(S1P{kLL!jIYZJrV*#q7082*z{*G0d`8FMrtjSG zi#6rD72~Xw(}1Yjzdp#|&tk z*gK%XqI+VsdC6p)$VDURx-m|SAT$sQIzCe5nCJcY>^M_8F&*m(D{aW(E1A3YPHO+4 zQh|s?ve5-l**&;quio4+i2oTFGp`-hxTOHYdQ(SSB{ujY9 zC0ppV88)!_EnXHN2XTK4hx}>}S;a4CAHL{62adC*9tHO+62KB}IlIDAJUP#qo!Fg% zCe2+17giz+iM2=>e^8lPWfnW+$LV2e3a3xX{>T)Ks&yE>>{ynv37`{n1wxW%uv7q1OfOKT@4LB$V;$)BZzMxW9a${`%X` z7FX7-(YRPSlK1vyHA0}eMPxsiTh`t+!_(uvTl65 zNcyx6m3Qq&OG+_ZY`hE-$Ne!hYtlLoVQy-N^szGvKWRam%uz1aQ$TV2`e@-w*v zG4lVwu}~$d^#Vo9hji+F;S~^4U9}~>@ckrr(#0KdN2I!Qs(SCkhv;3)bd}VADckfd z?`mR~0E@%jL$ei|o(%GXSx%bqd7!zOtY4oHCp

7W!V;T~5gUBV#%=hTjwN&t#Jz zCG(QZe@5#5%ueThaS;3KeP+;Pc)0R4|9mBQ_K-iW{yN9ZA9V6`HSkq8Zj9tYS$oZ= zl6PYPg^9rfu>La7p(JW2LCoknkX;<_(_;Z9H zW^?hZ?qE>wmoG~TA1(%0LJyjmSLxL|t7oZ-5b-H5G`dK5We?(YetOdw?zqcnC{FjX!I`&A z!RW6QX2HGB`A#JyXpMH!U)+Ng=5Q(ZvE-fZLIuxb}np)Wvsp8uyd;0~D&&}SHAj1}#=MR3 z@q5&2JmqJniY}&S5r8b;pAyV}=?zA&rht6evEvT%E~o5yyd0H3|6kL<>N8a9^cUQ< zUbB<#cXt1n*Tl?yBPzL2!!j|jbZwg9gJn_v~tqGjPUO-k(Mh#f?d*mO#4Tl9|eVXRw! z4n`pA8H^dM88q&3JtTO{0G2#_gzb*PYZ$>2)N0(z3ff~P?d@AD3i#X^pw!#%Y=&ys zAIdivsKy!8Szj?+s6h)?{`o24QHJ(BeM=-W%KS>s#9ic4nOKu8NZ(`khdB$s+!({k zD45R1IUVyj9|=j;W)r{NgMDr9cLHqta6Iq+&Up@_W?2+=bab$4sV{WJ6JTDH*V{{e zn!Mo483x(;a(szHn8zRbJSLISajoET@Xb$YmrVr2)Cb2P5_onZ!2Z#yHOMrU-32#B zb3E`h`V;At{tN-G9N7us@B$%zjQ?nJP6s&PPO91UR@b z3KSHbxD_5~hzj$hKB<`W?=>m1xH#*+%RYv~%>eV{HJ-JP4e6{aA$-!!Av~g9y{oh5 z?cwP!aKKC=73p(X$eOhh<9+s#;r!we3^juKH>_0ZV5KXWT1BO(`7}p{p3!Z6a+T~Z z2)C-wv3Rs@V7lavTazS_?X;lNdHL3ga@@OQE(ssV%5eKUm)vp5d9!Z+nB&@DN%GJR zb~QkW@zL!s$jAwyZcyL!IM%^aGyYYLly@8Otn}sReE+o4@GeMYn5=)?jS!?PQ{D!4 zifRMnn-i540?w;v1+}v@@)K__c!z63DV~N6Z4fGz(dvv}yvlBwaWbfCGXCxz zqMtv=&&*~HQbX>FHXo9>QrOG=x$jCzvR}tjYNQ;PxbBKvHF^aC_HKKCKm7L>+eslE zX)#VD+m?zCpxF6y!QCD<@S7b(ygUC*Pk5lD#Cp0USdp4;c941#;cJx1{MaDH?OBT- z(ykazYd^7X3*Vw`HmCC}No;Nl@0A9t%u6VT#WoRciz$x1fhiUGc=_5LYq#ZZ^r<_U zmE+DC7xb0t<{0&gnEml7#HPiX&T7(@_BqE_G2Nx~j_D^feUVwFbN7;nnO2D=CS}&9 zUT-SJQiqJPNmRw{f!Y25^Qj6O6eU7xWe)voM(0B4l{0l2_)^<7&tv$eOC{Q-{l9Q0HR1hymSf8E6_2MycCbtrQ7SGbgTF3A0nhcbcJyXL~IO z*upiwzB;s#k^=JDF1;~jk-xqD(ZC7-kBW>Y-KXAeV~LY$-Ph1<@L+S#x*p)P+(XT^ z0a?j;YOYkW`R3~DkYD`a20h-5z0=}0HJ4dmRt~E$<)_RL;#$QuDR2%MrPSc_h|JAP z5k;>P43lAzL-Ua;D#_bp>uU|w!kF}vq;5(0Sf{9wo5P3|>%R>}wtU6pZF*FcHs)^B z3g1y(Ivu0V+IGE2x*jkZ6*`$bbHCo|psrqsqCs9zOOOU_Be(X3xTL?phseieVr` z65^3Tb2O-zZPIFGiV^sUMCMZl4gV;n7@1Aew~?tUDUNOKm2;y`5!DzM|AJ~~k`<#E zBP+BOK$PnKKvea4#tS0QpP*`;_n&}umrAqtR~TK+76q9&0G5uzw#PC-ioFfu4K6v7 z7hu=8YYt|Oc?MTG=)6-lTigZUVo%-i>j_iacO+=q@fe2OWQ1!Wfy_I(U$hxIxE7CJz0Bj8;78lZL0IHWNg)#YtCVFYm&xNn6R8G>;HaoLzGd`kGLxhsX$t(U*s4?z znoM5a_KiIO;oP|^ac-$H#T(RmS1vae9d&Qe^jjD$AbTp-X z=B1l+cwCzuX@Ilazx1uLO4X@iOP@=W|{Ko39blc57(w`mNK#owpZJ2Hx&BUr{ia>3~r@qBz}86oi|xEwN`Xp4b84NK0bjs z?OGci;b7Z39M9WaOy`#JUJ<#dj>-%}JEMv4CfUk{2MBnA>t#L(i{ZO%5LFxKi1!ev z9_XynaN3DGHj@$rr*MZ^UO263jV5a#RsJIvAciSiM6Pi+1W!O|R@N4q=%!HK{K$g3 zYLlN;>B=W~C^psVYSSLAOlt+U{|{64<6H4w%c9I6&nh+vM6m9KWgRcxP#OlAr_&R~ zr~LtdI?slUoo4d}`UJ8zQ+T)u+oU`jr?ph8$jz^x;5foQjsl=7jz?%i(epz*`g$8{ z9t*V_1BR%R7|k58aC5L#JS<%+yI%PqDUx5|YM6Yf^OI}l=W3@2J4Bzior*U8Ak){- zcfB+UyFbH+XBbe(y@uRA0pNT-Hr`71C_nuD`ZKU=DL}N{oK?6;&>sRx@Kz11rhUnODd*X2qODba>tGM!sG=QOGm8PRzwww>T z+!uDWsDzlUe5xBI-Pw=$mm!rYN?}x2{<5l+!hlxK=yrDTh-fvrf7hnQado z-Z?b<3)i2N?J1n0w2Op}PxiCzvWED!eWuk_7oPFZspj*g09P@?E)thSZxY8))P%a^ zy6a+c>W{7ZJ%e=F&<%Io-J!BWqKxH`q2+GKz$AY!%J`bX4R+zE!V1)24$T^HfhVR2 z+vp>B>`Ps^E*=@R`~{FvUS#$pG`W7qiBmDtKdW!3sg*Cv`LKLW{j|~!fjndRrvq5t zc6O4=*jX6c%1s3x`hSm-5q2%7lRxAcO7FT-)7Jz2KM`3G9Awn%^3`i;2u1q25GpS(dFjwxu=@ zAT93yGej1PfU9$u=s3wsQ{WN|dhe2}Sg^j1b^Bo|L;r&HqsR9kU-14t^6i;M#QRV8 zsPYjU(d~gpxUcV(%)(=YJDh%`{_We-+Y{f=o(mIQ2T5{g z5_bOvY|{GFX314eX!9jnw{uG>oSiy5P2%ET=9SWRrYnqRrvOuB)>(uf zxTZuadT3$mii)0j)#*+vip1OskP@&N3CH^i-Qc3!RJF>=OE`!)Ydeu}lC9*p_<}Py zEqrIX?D9}1Dm*@Crq&tJvniPV)~+hU?*8kOukA>!olh4>)cqEEAn~!b~`_bn}%Gk@>T`|vLxmd5ypI? zoz`;GF;3W#M+2FnmAC&NRleVXcPf%3;kOQfXp{=n&niE=I}S=cg|9XbO1_*$*Kp{U ziy{z{_EK!Pap#*>rX~~16jrOh76RwZQd2&@Yv$0{DTNuSs+aQ?VGxZ`i?p8R>y(De zoCGTnR1sWj7Q}D`0cORl&1Ljc_6;V%S5sH@iX+{=C}qnqw(Kx-een<~-yb`g6Y(n` zGBGlfT4^SadPkY?UKW3EINrKXf<72Z1zB^4?ov+sn>Rx=@Om4CxFMg#v5{v^x@fO9 zqXwWZne#u?T<0X_-pBEQR%Ch4&fSh?>8Z;s@+iTs!P0ZwYMNQnxYG+p!ksojdj(cZ@NGKm^tkn%1$SO+tvEJ75qYmwW?v zbw%y3$RRQr&C&G)MD~p_cDbXH64$Jf3l7z*)ikF?NX_U@@FW+TdDhsaejJ+(zanlD zyD+Z;HL%~AB3p=Ak3EUu$J+Lh;w&YM^rSsj2IL^-SmN z>yy=&7+lr`u8u+lJmKEQC1nT0+{b-xiDqPwT>A2A{DytJ#RKLLF1nEBCuWwoZy)r>#mFh+QSkBbKe1 zotawQwcNKk)FvsNS^p6|v4T4-0i6X*Tf_<7mj4(oAGs9k|_UdZ^)XGUrz z!GLgu;$_adgKPcs%O&X13Dq=C4`D8LNQc7Y&1^n*@?{O5dbv7~RwIuccq6KofU*W_ z4oKB0tp+SZMB#)?c=ui!bXAf8-bt>#Qf&awUIDR6r79ndJgA>TN#tlU#S1!9ptj2Q zqk&=mUBeLTC2q;_g<;y~3n^DnnV-v z*KW*&sJJMKVzW1}3%;=pal%)_W^%wIZFMt5Z(K+b;LQppSQ3gPu$zv!@tsz9W6Vng zV{fmA-#*Vqb}pLTlBAGb4AIL=Tuf^4pr3y*96GnL9zO7KvOK@1M=}VnqT&D*^~K%= zcQyI#JsO{3GDPc&MQ6bD4@{?o=LHu$(TEQtq!Q)0wMzyvxpd!$JVEkAMT)b!>nZD( zTq}V4+Svg9`@erXWIJ`M8ZP0h0BS~b;j6097NE$@L-U02s;0r4c~FH;)GRka+Z zYFgQyjasB1z>sp0qpQduKYBpH9LGC)l^!R)#Ud0v3()T%XqR1S8_hXgv(8a17BVas z8SC&=B@|zw(g5)>j@1CqpM|d!qKSxm0YZ(Mk-c>A~1A%HM~CmoQT&$mH#zB5x8ubK32-- z8D+V;dwjKI{khIDf5_TN;$w5X3v7F@>B!|{Ba8i_b<3HdG{xiBbQ-OKK3iK+G@n&} zX-slf(bb0}fClh)M%i!Q>1+PPxm__XC^wR6pIpp{HKpa6=psJhm3Ati)s;leetCwp z-b(9n$d=NA zyMeG zV30&2*YJ^GN4LCeJY!rp!i!$05ZCnB&l2PT(SH*nS5oTObz)%_|z^7VHXc0#K*eOfR>G7S%YAti`BOY){#&l_@br3#&A`*@Z-``OKdcAkr_c16$S zyo7%v8E!U`uwbA=j@3=v7NoVf^`V>h?YJAHQklI0_}pbKVNyb{jVNbHIw=PplvQ5& z3h3;`x_@O>0Xo044fYwZ^uHeF%FZIzc}$%{z775$$T3F zCmE~juTN9R5TK?|YYs`sm>fp-7Oqd&5vhvibqOK9T0_mJ$&QZ9anI@*%%}2_Ht9Z$ zF+4x~VddXft7H!zpgD3kNJpmZOTn|pWcFt@Y4IJP^Wp$~SU3 z94V{bFZ)d72(hhBd7GjTKs-{bW+qz!G8^4LQ#gkl;!Gv7OU3jP{xEaL%2z(}O|Cg) zJHFhVW-W^mVXi54p0lmKl9E79w9D?J?;9u>4LH6W299!8OgIzouII|TO}VkSpldJ> zSk<`)F>JwhELPYYiL2fSgM51zX$YluSO^ToM|;gT`O|3L8wJ>)?p*x*i|ONPJ%PBkWQ*hi;v||} z=bFSHKl(LFj*m{*PA4g+?v$>mfqxA--qMIh>(pc>MZX)l@y)#PJS4bQg@4%66F6|` zC1HEMi}@*x&K(Q(E+vt(~bt z6*H^J%p5+`Ta9QWqo2B7nc*?*;~^t_OJ#1Sr{NT%-%-UjC)Hd6&fls0*lHz1OZ>Pi zPdw}?q+}UVfgD^Y$6cN`cG1t9udtbcjrlgxzTId4Dly@Lhg!YR??_b~QWrvb^i)QW zWJ+l?V15+Lr;^*Xgcen09GC25S!dN02*2y)K#e6Na=ujV1z-G{n=dbPjn?k8GHMQALcn1a z7O)*YMfo^X+Yj56Pv=vB3fcsOVHY4 z-xVRHsIeENwYHjwh)7UDW9^LE*M?YPshyhb*`1m+J%oiIZ7&hjHt*=Cr*9W;g zo^}fnGhAWlj3e46(eSvQ{>&o5#9-T1m`;MyG{f$06(B6_y+<&xPNN)qrO!i~A`&cK zdw8+}Ok!^Zm^wvt=tihaEdp@o7CM<}Ki0CC;#v_$r@Zw_8;rHbREUnq!*~_jpd7o> zn!ZxjI6fZ8FD(;1I2fOVSD(JM6q9nLO+`tUjj02suLkw5zaj&_lxfSj1VlRuI(B%( za#YN=t4!Z-5Ctm*hy1GT6c%|8bz-t~@{PPWdAY5GyO9J+;Kq__zAB}p#eZyVC<)^T z_5mO!PR8~UpH?juUD;{=c7?QFE*C^OK$Ifn4zI}^N6ZzC9fieRec?{RtAqZ^qj@v# zPMh_Rw;gtFPceG5`i5)_qjb4@(gl8w*)`a&r$-dL-+57e&NJ+O!0IDuR-r2+Jpe4N z@X_`vb4LmUSOKf`i-228YJBaZ!|+S|yGEb|@ZOIom^&i|xWpaz9!K06tCQ^1IMjzJ zDylAE=$d7)TVU1})#h+_iDLfE&mD{f00UtJnPJNZO|Sinv6lX7a8h|Pu;x)jLt+&& z89MRI1Z`I89VIm~qWC^wYhF-7!>9I8vH>%{Ml_%AzpGEWL2FsPV%ITI{eDr@=N@k? zlMG78U-0UP|LG&#*Nb2WyxqEb!MYRRSL>3LgM}#Am30-^VxgGi;Y(%9an6uUS!D=r z)VS!~Y)cZurV?*7QiUgj^x$c=Az?4GN;OZ8Wcnyj*S^CdDr;A9;-Fc2!fzmCzKXtR zyZ7NT%s_LgT`eViN?}-chcZejhI>{^l;}r?g%l<9Gbh&(1Y7c5bDc!~Baf(&K3J;G zkutt5ZTr77E#AP-67Dp5E{=#3ATpx!8v_5HYfu(%T2=Co1*cLRRU1$>7H{#_J{Jzl zYQeCaKBAa3PP4U!I8ZK>F+`hfKWj7D+96nKDz&)Go9I2XGD!)X!-g0Ap|O3! zDig&q@D2vkb$Z0yd227581gj*sBNk`mrC3iX^;A zGnU}{Z3vi{Re~9gAJek*&vOXL=x3C0Jj3}dKiK}nu%{<|3N1X9VOy3-oFoocA2_*k z55|08z7xvWa^ej4zfKv?9hDL%zIcnwAasP8K^r#StRj09WXwYmfYMKKYo)fO!MF-O zF)I>1S&vK9CK}U2HL%*+Y4O+!+G7;b0*e;6hij)tEB|>+tw{0&y8Nk8u&ajgPR-N1 zr93b&yPh>2IqBbknX!r3|Jx%B-vaC~(Os)hpWfV3R#(Pqi*F$H(owfp0wy^RM<-J0 z2U=6t9fK%e9SMU-R9%-NBwZkk^+xQCTCsZ#hATzFnKfsdzp?AH)2?$NaKGH%{o$R( zp>9`6c+han?-+Um!j2+wVUMC|DOx<0Z;#_*?qa=>$#Icc0Qn#M~#0q`9 zMIbmHjN9PggFsrmSgf~;zb(@{Xh z#>d<|^9~1e;2SGjzUzEh)v8*`nJ8_zU(MM=V^bJx(K?{`2&wMVd-i0kQfJW(9XVCp z&+fN+;ZQH$%T}L3X<}-IOAW{L5|lSm9~_%%45M_1a?bAK1!bJ~Wyl**_U)CwnOjT)i8euVE=tufyOKxo1xxPVS_KaxG>6nkJ294uKR3CGyKu)+ z{~JnkX2kMwqRcun4i~H+{BS=J&tqq9B_q_~_&n{(+=VsD$h6J4RJZ+XMpOJS?l?+4 zl|!@XOyfG$!YhK^aHpTmNn6Z4n~t+s8hcDTKYWSBzjp}2{q8k!4og=0Wm2W;Nf;WO zOcl`_&bsj>wH=~+uTkLWo+mF_9i-=!fOrr3E9L+P%k;~C4eae>3pJz#1DH|oP}&*! z?o5y~1o;-)=-b16^y}7v&;1`mpipLYAZ@_+5ijR2d}32c2hh2&*(D??)gaKbf>~iR zxd6U!RVmOT7+CL+BPjvSN^_zGA&p3lK=cIo5tL|4+J8q(E$ynn7mMevCPtUh*`yD__Zii(4qs)zws}Pg^-1nP7_OeC8 z@5n@}bmtr3wB=62{jg=<$h0B{@pp8GTfwh?%5yLp%FJXx1>h(YORw;h6BfHkBSdI* z#pvpo+ptoUyXJ2ccj)W8(aVh?5Qr?z7*{n|MOJ7Tkl^Dx9y9R>GqSdR|7vcI4H3jZ z@YFv22(O76YB_Css}d+PWz5#MRDJoDBJaSfT8FwY65X@9bY|D#g9bSgH2$wy<$vZe zgYeT0hypXUN6su;Y@_Rm9kNNwh2J>cT;x|znh1|Isjf}lka~$8r|HNK3%t2KGU)Ak zzZD_LMr!k{a6?E#T&L4KN$~8^_HuD%*J}?J2d_WuJBP3cXJ#iH@+L7N#>bOg zHQA$u3+BfR&QSN@lEvm|r#<2R88iB|&!4R~*Y>KMHkxjS&)IeM_Hq+1Pi=toYq?sn zRZew{wi~g>r{Dg>Ep~bMsP-dQ*??UH$K~nn>>uKvDmeu8d5U}?tVQ*CL7ptP5Yd)K z-6hGH@6e++4;Tc^$)ZPD&pX`o>8AJs)NY0{9RJY7J+W?7ELBQ$ldp{FlBv1Bhrr=* z?r~3OFPyFPU$})koH}*pJmk;2W(E%^kx|jNL-hQ{DQk8AXJe7ImVPyr{^$lNX<%Iq z{u;{pSlP?UlIw$0Xa-u0)8z3pnG|2K2}-3hI^@j@eqN7%4#$(lzT*h(nakv!oOBcC z9T9oA+AgW<9L)ue(CituxTw6iLW6wj)V|_)ZLK)lwLcHT_%S7(o$LrZM|ps1*` zyHg*l;O4e0dvg>c2Kq3sW+-Cu9f008Gqx*C>h@OJVcvAlT$A=#CyrHZCk4x095FRF zUv>A(MWdY{g*Gg&iNk15nuUc0uVgX0Dkzk&xHW{W$D5@%4wgdgg&f~MrmgKMH+@i) zl^K;!Z9{EuUzC&t*64g@XEH)LIMH0eV_*6uoj=;nWScO*t&7YregvEH#Dm`-%__#klIYhhBH+~9)Y)VOXFg7|Xt2-$L%Idqsc7Xd_6#5sse?61*jzd1H-OP(^J(W*maa05U V`XrpG`0;xha^LVz!aaxQ{{c?Ln#BMB literal 0 HcmV?d00001 diff --git a/multiplexer.t.v b/multiplexer.t.v index fd475c4..e72d594 100644 --- a/multiplexer.t.v +++ b/multiplexer.t.v @@ -4,4 +4,25 @@ module testMultiplexer (); // Your test code here + reg addr0, addr1; + reg in0, in1, in2, in3; + wire out; + + //behavioralMultiplexer multiplexer (out, addr0, addr1, in0, in1, in2, in3); + structuralMultiplexer multiplexer (out, addr0, addr1, in0, in1, in2, in3); + + + initial begin + $dumpfile("multiplexer.vcd"); + $dumpvars(0,testMultiplexer); + $display("A0 A1 I0 I1 I2 I3 | Output | Expected Output"); + addr0=0;addr1=0;in0=0;in1=1;in2=0;in3=1; #1000 + $display("%b %b %b %b %b %b | %b | 0", addr0, addr1, in0, in1, in2, in3, out); + addr0=1;addr1=0;in0=0;in1=1;in2=0;in3=1; #1000 + $display("%b %b %b %b %b %b | %b | 1", addr0, addr1, in0, in1, in2, in3, out); + addr0=0;addr1=1;in0=0;in1=1;in2=0;in3=1; #1000 + $display("%b %b %b %b %b %b | %b | 0", addr0, addr1, in0, in1, in2, in3, out); + addr0=1;addr1=1;in0=0;in1=1;in2=0;in3=1; #1000 + $display("%b %b %b %b %b %b | %b | 1", addr0, addr1, in0, in1, in2, in3, out); + end endmodule diff --git a/multiplexer.v b/multiplexer.v index b05820f..80508cd 100644 --- a/multiplexer.v +++ b/multiplexer.v @@ -1,5 +1,7 @@ // Multiplexer circuit - +`define AND and #50 +`define OR or #50 +`define NOT not #50 module behavioralMultiplexer ( output out, @@ -20,5 +22,32 @@ module structuralMultiplexer input in0, in1, in2, in3 ); // Your multiplexer code here + wire nA0; + wire nA1; + wire input0Wire0; + wire input0Wire1; + wire input1Wire0; + wire input1Wire1; + wire input2Wire0; + wire input2Wire1; + wire input3Wire0; + wire input3Wire1; + wire orWire0; + wire orWire1; + + `NOT invA0(nA0, address0); + `NOT invA1(nA1, address1); + `AND input0And0(input0Wire0, nA0, nA1); + `AND input0And1(input0Wire1, input0Wire0, in0); + `AND input1And0(input1Wire0, address0, nA1); + `AND input1And1(input1Wire1, input1Wire0, in1); + `AND input2And0(input2Wire0, nA0, address1); + `AND input2And1(input2Wire1, input2Wire0, in2); + `AND input3And0(input3Wire0, address0, address1); + `AND input3And1(input3Wire1, input3Wire0, in3); + + `OR or1(orWire0, input0Wire1, input1Wire1); + `OR or2(orWire1, orWire0, input2Wire1); + `OR or3(out, orWire1, input3Wire1); endmodule diff --git a/multiplexer_test.png b/multiplexer_test.png new file mode 100644 index 0000000000000000000000000000000000000000..3d75e1312ed2d3076fc3e360fc3ea01238f629b0 GIT binary patch literal 36210 zcmY(qW0WAlvNhVaZQHhOOk>)%ZQHhO+qP}nHs754U97kN_Vadv)ba$XWcnsMYZP1q`orjv^;iB$i8kc1s%2p1tr zN>OPrG^I>21|pCSfWV8-8SIEp*%yyDCXoaP3cRe3{vS-!LqWH03W|APybm&Cg!&P~}d^@F!3dT!Mw9^Yo4UFUV9tL=**5L}3PtI>`DSP%YydJBs&TJpsZh zHw0Z4{&(C-YDBQUpFRdfgh;S>=R4N;qiLzni1 z5arc2501^ohW~>`eg;@zL4-Kk$qLPeBGQ6-j(&k4;?8DMFGbG|4s-+J0i@A$AX zDi0#T6!C#Lt7{*wUR+sOX)=^>Ju|F!K1^YIT&vU<=HBcED_H383x6%+nw|xll!AkSCeL<@B8{Mp{Rt6L{8HOutAW?vVoIs;Hz%ov01872s8YaLPXD>a z8VK;4JvCoR2_8cf$B9|J6s*OKZ=rz}L_iQlR8N5vCz|^Cz!vHM%3W(%M-R`tAfV+= zrOR%NTbV6XL-k!09F{-zEiAX;DyO3-ED&zhA`e;Iyy^)dEii}VVM;6L+Vx_fP1joz z9PQyfU*rs23y@bsM)chmY?Ux}j#8lTE8-ZRm>A65zG3(ER+CT`&w9e(3Ihzr4C?U- zMHNKs9?t9RNzvXc&4+wpYGPw!>(!B26p<6#nZ8AdEPta-6puX%o((eq$@Fb+Xe4!3*ge559xo`zKkqjMPv?_ zSu2RDLSk~3RCZGqfFbxB*$F!ZnDyeYEpkTcHa%m>U`LaNy&CN! zX+-1ac*Pb10}F9`=+-$dG1*_e)i%wJBC3qzJSBCerUD_}3g43m$I$FL$nx~lS zFfz0rR&}k>L9)@0hZ*4CDcX^91q2ImI+{r$3@B#%1Ggp-pg{(Wrov0E1QPo-{lPR& z#}~jx<3)_g2CV6`Rt>j$wh~TA@B)-*t_Z_X+zG!M_cvn!Xr(`0DWr}jkLOp;ZVMS`L)2*QOfO@gHVZGbLvq65rB6w?jw`vGB;E#sT#X(I?B6Hdi-GpBuiM z7gjvBlTuKPn2-HCIjQl1ba#fIYi&n!)5mYHl3z-9#-S6L@}78WI()#if1X@z@UKJ8 zylgs;+_l#Egx<=iwqq=yP)xWPv@w-c#LhoT#oL^Vprv+BFEaTC=U*jexXpP6J&pE< z21GEH6mVikRZJ@lM`Jj$<&eTfq~NeZ{3nk~3u8@Hzl=H6p^{mfbe34d_ppV^7J(1EG(!bhFDn|U8cknBwxdf-oDQQ z03?(_2ZjpcH{j7A$0B4toiOLmhwE^bKrH8$I5JEO00XM#Xjh}DIx?q}TvUavY1sY_ z3C>BpjvIY;n>Ewthal4_w=*yPxDX;gfR<63jBlwY1vzD5UZ1bnQY4nb8b3fvzrB9X z*3%&gxqev5YR);)V1zMk zxp`+)RM@Ka!la^~EI|MeAQa2PZa*%pA{!?`5^>-ZcQQ$!pb|q$iPHQShma)3S1d(B zC~!K6nGBhRomSrjCb-7FXVXIiFM=z#kqjAZFhnHCGAI;h#MDJ}&c`3JmuK;hp&)T% zHw8PZSPVi!Ga9T(NWix@-oy4wI;_wY2Z^|~`!A(O&6Zb3o^_IpNs3*0yJbYEsDwof z?GcPSnjI6%vTYWNQkpE)G!wl41lmX&0vc zKaKYAVBN0S%iP-G+;lO?xjeHAR4uk1?CUO~JjF(1(A=I6C}Yd+$fu1hZVdbH#IC|H1Zu znR6`j1ZOWCA_11Y28u=uhFv-#a#thNvsJ^laf}93p*YLvpn8)uCpTc)7Ng;(xRZ9` z0a3T;cW(8O`%1G`N0*Dfx~iTbFl5``Fo^&GjqjJJwF=cw2QBP2rRd}Hx9k(j3uIe; zk*2PGxVHAgw9MrxUntp2O=6EO%0T9@HTfU+O6R^Mj?0^!bD;FVKCa-cc!`obWBWzD z-N4%@<#1-xDc;dn#GVJsU3OxoL1RKUogeBx3ZfoAH+Vm`UR)dS^!AgX?psfDj3`OI z!``o5vSY^iKV^BELa?Fd_8$lffQHX9J+V+>&g|6Fsl`+r1jD*xD) zEAcS~U#t}dlDREglzHc*ICd4OVFA+8blL&ayxdDT}S z`PlG+c-CHu?#kn_cI#OMRDXLlpw?%?P%82H=iIY*yR~Q?(T@3GcCp zVLBk_$VNz-v0Yj9dt*T+*9ehbN0gFxj6C%R7v-dCHE+-^%F4=TMlB_{ zjeO6B>byfPzRGZfIL!h^DSCd8-tjVRXRDEK$a7QpKgD#R$G^ta>whCqD6u+hmKu-m z+vZttwb}p3Wt1E~cW5+&DrjqOQvlL#NRg_5!fxc+!M^Iai7LhuHK$@ai})#6E0b7n2MDc+sCK{0AWn3qV~v)GN5G?mfUdX+Jn z2AUV2*DrPaZr6qc0XoY_VrXWz8-PVGczBlm*^$hskiD zT*b+j=0G9CiI_Wn+GV8M*1LxGJ!Q3KYW=b&`gSC)Qg4ciW<*~P?w0)g5xsFUhF0D9 z$MZ~~8FoV}O_H+0b9pzCL;Y+0rH?=WZXr>nl_YtVdTyT%GWdvfYLDv%cG4^`+AO?< zlDd`!p0>)<5`GmISX5HLX|l47wkV%!YO0S|sOnVFm?xOgC_i>+(i*WbGCGuaS5D{&h&Kz4nOHt_w6X3oo!A&%4*hs zo!ht&iaW%L&z`W`zO&+;CjAs-F&4NO50)z1kB?%)OyXD{TY&d2HZo}z1*kA&;vtS+ ziaW(e?OaQI=>$L{Q&bREc&gv91Z+!o{@yC3B5XVHzC+bu90NC|vH!f#vyls+CX+Qg zwPVTSLy0@JTyMydAl0;L3-nc}O^8op#E8;lgY-n7jtuA1@1rfiEUNsz+^*9U z!`xT!U*8vsMX>zIg*)04md2h{q-u7rs61`?e!IMG(20%&cgwt5A6G71xt{2+IH}RL zefdU>Io;c`*Nl}kTzKB7uex)QPmHCEC*AmJNbGAb3(r~aSz+YFu(`eL=vzPFAswB} z1p@m0B_C{fYMA0qZFV0a4vx(34yC4ztsKZSZKqIpYQ+p!^sn}V5bW9FFXA6=WFdvf ziQ=V#%jjJktNrz_&%=Uo>8{%oiXi+^$V8CmLu=1HDeq}{8p4!kXs>jUVL*F3#n+d1#OxtFZH#2Pvolnj%lF!l;v** zG#q$}p&qqyZxkl0BA@SrN(iqVowu0o$aiRXTR6jSIl6i>L%8=l*zY)|bZ7*pm%53b zySL{iypaVE!`;+n+fy3R(8IFVcR%>o>E^W)=b^^Wk}+{D&pRAyifHtSzzws%u$Es( z!tCGS`0aEx3u@!{aTyq2%Xc$R+?j@z#%b=j#~5pH<-2|B)`tZy)ZIP)yS3=Tv0OVA z(cgFW%O2e!IH>cq&DffrSOmCpQHKy8@es83^g`GI1cJJR;9{^4Tf0o}>PqQ9t}&nj zguVx)TU=etM8#eYt)Xu^)!)oTDDcitFg`U-qQRJXga(N=~Pj{M%O0uWYOWF z6h^P-0pd%Td^FHa)>RNrCJHkGVy-R<3A@~!ip3Y;s;hqK`tkd&`B-=Zgd7(c(3l4x zjc>#|TFBxOuPx>Bb^kmo=FrW6u8);T5CH-V`H42^&n_D3I)jtk!e8cgraHRKfit); zU>=va5(N3g5uWhKApT}7F$4;$b+Tz3`Rb+GXL!Jzo$mVofCtKT zCh^OktFTWb4u!AIGbkfbOemKTAQuMnuxd~aAl-4(venWAB8u)!4p3_-o4yuAA{$7j z)GJXInUdw%=*^I!ik9=^FZ`jVgcRntWy6~%(X-r;P>L;%a~&?+Vlv7thtJG8JUDl( z57@n*FB#Wblhxm2478)$9!e{O288f>g~Vn-E-h_V5liR+E4CVV26Bv_!6d4<5@anN zq3>s{&*uREmg{5_jm{v@8aR0XDPWHN3XsV%K%Z&9*J{~*Lt@3%p!THKrGq61O1Xb~ z9CW)X&}kEenEhs-rG-D7ywlIve81(-6-gl&JQWr%bEHB@wS(aZoQ$O$0G+F$Po%q= zEP}T5rq&b{g_v>z7o+LlEBrhJDUdd)S7*0p(-Nv5Z(vax8;va=Bs1{oEz)*KWBSII zSzirek9DDohgF$O*Yuz&ZKcnAeb9r)CB!5YBh&SLG<;cES>Q72+>^ z5YT=Aw5{Sz7d&;+J3FJJc}537P;bnVg6T>TnYu%eEwaD()t;8zHlldX_`LnaS-&NT zNSkQg{byrX`Jkv;RwhX#jFr@l5W*o_@W(U~lG)wTrDuksF8qa+z(ts%(7k?y;1qF8$S4#v-yImg zVCO4C_DKs3$_6w{L{g15_m{oWyfM-df@vXY`+*m=rWifNkQHrZH zBO~GinfS)UWhWS>+`TK#H) zpYcVJsbx~iS0DN-?~LmM09iIq`k@%wK77?t^C;h_9dr;Zdr4`W;m5c@kf^Tpz%5!h zTfSpq14@R1ukVsR4Z`t81(Lx!y5m~SjbmL)rj(#STmem%ZvVNG;~Dcl#D;Uw+?K2Q z+NTT|o&$%tMY=$c@vgPFecRl>Q$-ftSPtf#WzLi>_X#}e{R{9&ErcP54>>qrl)_l~ zlNj5V>S{mU%N{?KAk+$dbJ`>6sq6ei0mW*GR-b%>!sWqq+(g8cS8w&g)pCIiq|-O*7vbv0w490$}NGIO_Uv48z`)M6MO&Aj8X6 zA!%=P(qdwbO&F>zSs5nj}wv z#i-;raY+v+zMA5vKek;$ut06}{kCc`B$mEwXf2(u@+s3XlwtNcR%jcWqfS3Ek`0jDa}l9f20Ke3XLfY>?f9cSETsk^Z8V)i=0!^I^jzwBQhC!6tgD|sLT?P@YfB8tg z3@}LiLD^VWSkP?klK0-geq4EZ4Ov!Z^y2xD#Yxs@LKYWNVF#Dr?ydR!ihd!S<%(Qh zNr}29C8FBYPy$qap>Grf`Z{u2H zl%UtT@4Hv2p&>N6E+s z7&YFib_g^T?9Em2ddl@RFk)yztLCnb**?&&zMZSRFXX!;jpCMJc<|1*)1W8!_Zp~_ zJq5`P>=JIC_V=Q~cS!m(O7eHH+J)_hx222L1EN{&du)7{zG$UU6JSu~>AeA%$&51Y zu{CHuDP(A^7XCeiiPGl)|I_o#QQq_Ucd&`M`>yqCtB^ zI+@-y?D#o?txC2>>q^cnN@9rmpO)+ot+g(PPUdCB&~GJ9Or={M zHIcMxkTUZX*xld~RbLs;_Q--U%&seNGhC&H3sWPURpA*JI`k#J0OU9cG`i)7j9Xu4 ziq34y(Y6k!hmVZjJtO2z|BS_T_%u~&;;j@=xYESX36y{mKjU;Y41ayLGXfSDC62q-9wg3C6r>J4Kr8CG7;IwigJanpdr>x!b%>Oc33TTg31 zBD!MKqVp)vorKK=Sc}B~h%NM9sps%s!DsgRHtxBeI$4t?7O;Omi9DXK!gOO5dX7C; zK&M7xgJ_W_7c|C|EO(ZWG-uH(oyYi7nBnXjuY2L|`$8)z9AEVZ7z-)Zx-*c7sVZ(=_?a z1c@V^#FNzJ`DUkNST~tF|2eAS5gzHB|EyG6haY1-}`3CjBJovDGF}(1P!B-rL47-YE%#!8skqW ziUOc|eLmBD(P0*1W@l1O($qQ&T-eoY8ZA4z+K*a3s_Etfjq7pibVpy?L;7!Evrx{g z>RJH{Xnx~nvdpzi>6?S(CDfW)^dy-P^;rj5IzBm}R zkQI2Ne9YI|u?3mcdL9@SwYrZVA zS;6JeI490)v*XI}!6eJKz$g(NjREDzp6QOZ%q}mYRgtYT9EB4J{|&ERsf&XgWr1Oh z&|jIcOBUUD*DebPGanZ@fRtg4upwi;=Mw$0AlaYwU49jeY;kx6=q+OLg<#vGI#o~)@aV?Cj zh;pG?iXa+K1HX5-^jz3d63wcD?fq54*Ktgy(TF__q?lm#&x5sX`rs-oOXMKe*h2q_hAT zDn!!sQ3(lI5GL*K)EYpx!e?!ke}zl|Qb^T-C7vScu&`w&0bTOW*iExd6G<=nz9F53#*Dq4Lv#4e)IrA@FnCbW0m-#rE5l9W<}5xHn11 z1Q9|1ws{#uj3-2=vX>&FsFQ{ywY6pn$R3dwOF$8X$y*00AXDPmhDAEhutP*<I>QyD8H_x=}-eBMhWM5f-|3ap3TI)q8^%gLUoz5k!%Q-}{!4 zaZsE`AtGF$N0%U<#9L7o|32f76Tv7nhqs!5@@qbrbS4ffI%)irlRR?o+CN<3{|o2- z`-*+`!%m>~CQ(jCjG+kSJalq4djn03BW<9}XQ00^$UhOZ=TbOI;bzxnS6Bwoi3QKy z4c)vJLLrq|B*2P9h6@fCE9A(U_+46=g&cf9h;FS!$LUecA}IOF;sW!}tJu4BCt1~T zr|H(xQ$=mGT2_?;W|SEgm&=JwaLwJn{1e^gW!HQ3GC8+&qGxzOg!O3m0lb)=0nNSB zmEOs>9en(<39a6Qs40z&jh$)Kw|ziG`bi{7WD^@XoG}nkSNj4TJC2!OKtVK)MAsTb z08zb>NP-wU8eTyfmU3&ekg|FGmAm=DIe)}6Z_?Z6Qdf7!jU=)%yZ17A!e}%AG7@_x zJsU61AOc7eZ8xk+g-diY+xMy)Qo;tF*+xi+7Nu`R*XPoc@z-D49-;nHGPAz*0Uo#ExA{|1{eLMD z{(TJsaJ1DNYd-&ZME?(87WJv*|K|K}aQdwQw*H?4;1FD!sQ;596tB-M3H_-a_apT1 z%3KL22>i}YA!Qmz_*B!bnj^7E9OsRUM~)}pwPR7>^?!*^3m&nr0JHgP7VH}8glPYh zzWk5$v2S%g!1~`04sUC)#F_+!pj?wlb%OYLM4yQC6uaDm!>eXY&qW~kYoBD@#Mij* z&(yTLqs=J3YR?9Hd#C)f0_t<;2O}o$-ugTx%Dlnw(z*M(eK+lLwq{u~HwA<-j%!#n z+wMp~{*IamBUzug*#POc(_ARUmr_sgKK%N{^)9^izJ`sbZD`_mR;?22@zk^1fjUa@ zxx)3#!`y$`P&NB?t|Nr4SLF&To+?4$g%d9(BYkGwl9}V%lE08X!Tx8&APhK!VD5d` zNPz2^%iMNYMhT;rDX?+1#NMoTBTfy;oLx~2tRW`J{`ZUtVJtmohEn&P^9$$P4|MB< zE<5|tN$M|KFHT%sHN(ZC(~Md-IfMM^(Q6kBpPUGnLd>=87Vr4&Em*}`w;lFL|I2Br z1TvP?Hl6-fqAm0Ojrkic0MNu7cly6=k!jnf&?<$4 z=rn}}2q`{$ci(T89Op2z7yMxC^|h|@ z^w`46Oq5fAcO+@o9kXg`Xo4SF6K(y?0P* zpsqa4rWX|C=@&$VQHB-<%480Oz)Z2Nfn9e&mT4P)!1XWJM<*cer%*oPjz0`&$=%*$ z7Pvk(lk(Sj!D#CMMdFf(0Pq40d7A#CULh}%EIJt>I< zu0^<4z^5t%%|r+og=Vp$6&ISozXlM~@Z5DB)JRI8C?F^yu+a=>`!^xnn9cZ?I{$&!3MFr6TIBaG5+Gp?SiMoCso|-8EmNquV>eM7@xK;W*N|q zq$~^{<`)j(lU4UKU&gnZYjdq>V8>4r;ZD|x=`0ow3M^tA-+=76Ry6H9&)>v@a0xW9>nJ21Uh_F&XKtXmQRkH{_(QX8W?VELAU{;1ERATnC z`w5S3e;No7+PQJ&WYoaxw>?}R5TcE|J>9NSNF&7$z--gGg%8#6+!yv~gKwB|Oz_uS zjtd4|a}VvkfaaSbot|*FKaOAejG5TW zpDoEg_^FK|2pwa9~q*kdW`a)jz#prrfaZE(&2&7$`eO&hU!E-(^r_sJPj=e)xlUFXL?gjT|-0n2K3G zOc4uUH=Qr0S6fUA?eOq%*+?5S?ve8**tP9(%|eHFp9U`n`9Pe0oZf95gBJrbjQ%}D zqHvEUc4oClCSGZvN@K9*^|yayxY*fQ*v`3lP!e5%qYIucG+4DGN*kX~`u@Q|hD2+S zuH-jq|E-Z1LIaa^*uIMwN)c(3!u=R;g|1rWtZBOV7Cqk|xd!&wTOiS%vwZ$3^T1f>knQC|B^biXmwSRsl zLiXTBYQuO%Mt{vTA21aW^u{CV zN^SRvSV5}a=D;;_GF`@8zU^i-+1^~Jk^D#Pmp3QV!T#OW*_Fh|zaHGF+HM@8<^n{0 zG59BsFT<;M3l&QP%BOwO?pM?Nj9rbHYWG?_T6=m!vy-W{Cl4FBEjygyi#OXr z@ev_^=nnC{qAKMuB}%Qp5el7dLU}v~y}wM&>#Tv5s*^gm-cj(>o#?lBy8M`HvxNIV z#AD6BEc=`7HjU^!y3$0TT=Jej6906j1%yOz1c^26chtQP*T0T`zw40k?-$tRh2bzl z+OR;3f@Co=&%r}#n>=;<3BPx6!`i1EeMl!3J}!y6YQgyvkM7n zni{x~cKlP5gXv9)%whwnpdYG%7u9=L6?3PE{KO;B&9Tq4Pgr$AWXqFIR1vgEa!lK| z_e^=aJExa9gW69yB-gNI03v{<148UdQ>%OuC6aARLRSQfkAn2w9RLt+BV6OCv=O;0 z3}76bUz0F1ItPW8WOH&uhjpx0>Vr!Rt!7E!epcaI8>#e*V&s)(d(yzmAdN$Mt3ufi z%e3s<)yLH4qj_WspPI#j??TxP!Cb|Tut;JRI;AC!nFss4K&V$b zP2J-$DlC;@%VuHf-e(-w8eAvKIf^#|rP8&KoJ_v`LA_8aNQyBtf~7Ct}!sT&3zxPVQ>;d z^~4kg$sp*AM1|L8}PV{fBhqOzCF zZ?*Jv`D1vu25(~d3pwB*d_uNf(d4!N_BEWNKZY989rVB(m+(9Ttwukt$^t7v#q3R) z8^-hbW}TaA_lYL@j2`!PX0oS}J#KXHQxcgloz%Cw-9^CB^gc4t@m{0xMadj}(B~X( zwzf0h&;7OlS& zxUM~7u;t%yQ*#~(cH8BTYMFnB*LgP>4!MIJR$IGAs~2~K-v#_rW}9 zyE1$Ew6U#jOFtTWS*)^AYN0cANtpcN@)_QwFcBjkJ_n|J<^?LPuM@TTC_+2VTp<-yXpMo=Q{OpXnBog6alRNlXYHaS?`8ETYNbrK%mVT0qVsr?N8*SO|8|277;PUD z_UsbO-JtP{=MevKN)Np4RfN3En~r@LXC&|d#j)|<()^C%)hHU2h)3nec;+H@)JcM; zlR{V)w1eb8g(e>My1tx+KLvU3Q7hU7H;1_(QsmGwvaSzzEraL~G!GZ)W#2||@_XX~ z_K<8VGV-&uTx$sNd_l%?K_c@MKPCdxL>EjYCVlvXs-i|7$EE-v*~+MA2dVk<4KGFYvXu-mwoRUJ3{Y|MM`L4&Lk?3DuOnjmxE*Eti$ePm zYWy<3zoh}{sHRk5O$ErAvhubqb^!}?_x_dns}1fb+YV&7lCQj3QF2_`6@g=)66}f6 zWRf|SNcW3_cLQ|~g)qYxXdA49@QIb5=YdQR_2_01!=c}fX(YOj_tX(78L`j*;3?60 zK=*8!TFU%gCCLp(fV93YK7jugdHXbEO5+v6F*hsHLJa~AKLW*4;e=?$zqj-^h-dAG zZt~}yb6yOoI8T4X9>(*njjV~4u@JIv2x)WvXNiBVx?4(P{Tf6@Aad^PXMW!Q>#>Gx z7yjg9b-kn5Wl3dsSUh!iHYm2{bQa%x67Sa|+j9P4XL{6LRlF&8%$SY-KvOwS9x^%5s& zfVA^Lg2V^`;%zzKh!q6Wr6_aoMj zx!*6u$L}uU^X%oUc)Zh6f<<3&!V0LbDdJuH4tFk8F7`q3(18KePnWz?F5mhe;>&yil5Cp#R5`USAWRlGjQ zk+_*483IM$A#FS7(#PS}`RY(;%TLd$x7WYp<_^s*M3X2*y4WyB2 z;m>cdE)4#KVF!jszIZXmwl>eAncCo@m1zFC3di6DV$!=|QpmJsv4YK9U{FDdWTkQn z?%HQk7dCG2dz%7b1wUfcfZ}8VR1~l{F+clRUDq4V9khb?<5`Y6FMsw+&a&meFd((haSCU!<}Z$~=7QL|b_NDp%%x!54ys zW=4gzJgIxZpGB5}pb);*yK3TXG^d?1gFsgBi0-eXGue)!@sPs^W``*gjN%2$)~4*b zlIw7ZpI7CbZ7}60-4YpYDBxEA8u+I1xrg-7dZU=-cVJ}y{o&7gx4VypAN>-w0z$@0!hW&M5S)3mtN01*_)%W|FVh+AD*wRM_S<6P$FQu{Es3~zC2 zB9IJAGqd*fFLajy9<-urL<@mT5axpbbh|KRk+saVqyU|?rf?PCrx0@m1r7&1l`nY2 zo^3q!pZ2fh2Txe}k2OI?} z{*l=v2B^6145)L7Yw#U|mLgQye2q;@9vG-ihKM?(MQQHZI+9_k(&AH6Z)v@WU90?% z45h)khZrYB1}Q+3WXBHCX9cS{x{`>WF74dMeJ+ZzjZ!KKE2D3?r36jCeL@I z2ecCL`vnnAwl@&>m`d#ZPfL#z^6vLBi;0deb><4_>-t}Tb7zutD201kV`FRYAd5US zTLIvpfx&SZbV0jAY&Ilxf$<2i!fyKt-L#P@=~`3q;~v&glKSeG;-adZHe$aW05P3GCP{XlVV8g$RkcHJhMM7Z}zgxo}uvkL# z=`dWDYTuV<d0>h3@&`oL6V6W8M20pV@x{MC zMdNy%J^K{cI=(sX{|G60`^7nR8blFQYkh}o7qPD5D;Y<@-lPqi))RfVE3O*FZf*biG6sF& zlUd(SLht-l*&=SOKH?7)1{;lMFKsZ!*B(#EsqXkd$gO~dpp+#+;a|d@6}c6mo(mUA zk$Jnsed~TTDy*BxT5`h=$)LYA1s1y%+y6Wr$(?a*Ns~KW@i&b&drkH5jqY!s%GSCClIts zpQtc~gX0y^f1=?JbcuX>0Hle)mqM5)>^^p66)4)(Ja?i4)zxP@qVVN355?tT%?Rw$ zB1itAuJ?=#UMRhm{VVluu?-cE3Wh@)FPKHkFQDqnF5YXb=Muy_+hGm+id-VP&P}$$ z5Z<*TkUnz4Dto0`qS&%2>QOVKpIgF6K(Pl`=H+0~1v?3%A(DcDaT+;>+?~ZSDZ&cM zV-kQlI$9QyZuL1+aCr{|8e43CpbFhy=Z|kaw@$OVrhQCAD{ZnA#R8@Z$q{JJ$1A&S zikCkW=K76u5O>!sZ4>TO^#>Q2H~1rvX#P=${Tiq4u~rdnI;D>9W#O9vUN~m{?ZwdY z7NFV|;%}E7@MuZ@e!Xax&+iG!PJyjooY=|PPndw!y;cFg!P_Fm54)Hz`SqjtGu28p1VOeBrZ3N~fj&v9OTT*8tEl>Q zv`Z3xj#n^kZ*M~9?a}Xg=i?n&#k2q6Zu*sM$6N}Xl`-{aOh5x=URXg}KpB5`UO^ZE z0aO4HRpfz6ylnewB@ta-SOgRW6%-Ujr=P;aAE@9Iq4SIu^Mv$Wd`c7qLRxdmJD;3V zczH>W8BaN~M0V4etigHLkNOXZ@w&p-zSb!>TLfGFPexnu>4Qh(&M~4O3s@luH(S0< z2Pw`lyBRltSH_pCsZqB>f{$0FwGvT8A1BVpl+r`qJ6ck-~I(D!Ijy@-m#-vLB{tgj#JQa7hvctH1 z%`|)1qqr%{81h_X%XP}(cID4~bp9)B&hL)(3hp~TC*DIuIXK2V>ukDz#O`J{e%;mF zPH;CGi+?K9`*D|bob~NZNGCU(?k~5}*-nFZV(_a9D<2n77y~Fy(&o^o2e75%0ykxR z4FoS&dmFfuePr^9-2PQBL_b*UNFlKd8KI;A#R4}yao9@l#lCueE?R~8XRN=QD`Z2= zpq3R8v-pr}@A$4}Vd+S`^J`B_M+6NF>qTjueQP|1p9SU_Ms42S=KkQ}Lw(hFE3{a# zZ9>%JK7IT>I&z9xmYnjg!P$+FQqoU*7_la?U4_~izr%-4@7h!cidQc}(OyLG2e8|% zqe$*TT8_>4wiqHfj{~()oSeUZxo?m|z=UPO4uW}DqhyDTwzPg8JM zYcSOc9rrGdhd0)^_AMpp>GZ9B*Q8Lza;_Dv&b~Y(<L3H5^e8>|4n`O9e++~o&s;RV&tCCaeU^*tl;{3+SICZO8w zOg`FNCOKqU{!4@cCRP>_U>jvbV`#k_1+a*jqO3VtBs@)rvA9`pWDx? zgEPf*H)p!Z6>PCvbd8cY<7Q|!?K=>9ZNhW$m&ZAslQwfVw<}QaP*tzX93&B~YqSbf z31g|1ba{reNVCO_Al3PBq>-W?55D^ozoh3IW3{y=08n7^sFnQ2WNC^;BQ|rS>79O_ z`wd;Ecb|}ti_f?}aRB}G+4KX6n0F^__{p7qt~DBWZte*{jv25|_F*#+la)IDL%wHb zY8ap4dD-&rMr}G}u(Y>ucA>^#BY#*1;{gw;CI;e^tIRp%){+56CLC$~|FT;nO zRrV)INy;aS`TIhce}eP!Q%EZ*NmcL}*c*(4yE7h+8fVGH%<3|GFl7j;y4y-QIPJdQ zsZ*2m@)D?p_Abs@O`Mqm1B%6AVIqYlb$xg(^Z=>IpvM3Q7o0_y^MTWn+($|$?*^&U zN_mA(8RC*T7GHOBS~xoQQ2xD%pT4D%?Cx4#`@Lq;`O9;Vw5ukwoesYCJI6m9exdrd zwlu~J+CDuoo9sLPyhwJ!u$Vc_1%Bgfsq=_%HGroF%Qb{^L`dr*31NVn^?sUOS97Es zA(i`lP&yV#W)b{zfx;2}a}kAY>h(ZvAK?ny-mkyS@|C0-VRHhOcgz#S-rUa0! z6$l&sB42@M1;q4D4Cs{S!uRq?1Xs~qf4V*8D}uC4O0ij^sI))k_kKT+k=5ZQ-~U~) z%}mQA*UwasdCsXLn_65w437VGaTrtC37-mqaZ7MFh`}Rm`R9 zdovsEMizql{hc_hEYI%}ZqKwa)VT=T57%p7`c6vQZh}1FQHiH8Odl6ENM%mUudc8OAel zr$@Ez;}iVww1a7En-gwFPNnibh%`X?&OX0ME`a0AjS9z4zF6Q?n9wZduyj^FR&@P*+=JW7!coZ)l;jN_Ph zkb_iHy)Yq4#re;MYg(Ql(WHYa*0|P2BDqoF=4~3DavMb^<;RRMV?#>;D;9jaa1u+1 zS`I!G!xz&7Vd3^}r{sEHn^@QnRqDb#;Y-P&1}0sE4~uvThoH&Hl)4pFx(`h=w;RU z@H{g|20U6N!D?Ug7c?$x<8zd|)MV#VgjCDk)K^Dnb=0M~&x?c!iGPBD-dHN!L{YV8 zWuDqWCfTdA0@={Ma85+|SA(5J)P2j?@(NVJ0mTl~mPAKpUv0VqDh>-DJ8i%Fe&MzG zT*e|3d{r3w#*Q$55F;et1^Wn~00Tipml&HBK-e3e2W&L+!zDvRfC)Aued_h>5l8!W zb!~dn8wo2ON|aQ!i9fZE=;#7JP z!u?I_T4Pj{`j$c8HTUr#3;bk4fcSpMUWzEA9Q7&sB^ZtZ_T)O8v+Qfr`>yH111Wj* z6{Ed}0@D@#!@RQ)j*=axF^Z?U2(Ixt`s*8|k!n=$mA`Rjpz}H z$n(23EMK$x)8t#UVYn)HYj%LTtbm6_pe|@FeZ)^YFr`-bVC5_EktC+G;d!+iXj`m% ziSLJQt+YBytNL22Z=IFhmE+_w49QW!TP(oF#ivrJes!CaOD=Sq(Vguz2&1#gqN{>P zH9Dvo6E@4PM=}j4HJ(#^JsUjRfHN6+x-ps4xX{jj+FPBieyn(U^W0l+89rCGExX*C zZM#Nh?#PV?Tc(DRvq*Oe9|d`#<0W`jlIF z>{ofvarL6IHnylfc<|p@npSQ0TmzRcaHV*!2V@IWNiix?dRyF6y?K7zuLi$}h&j4L zAwR`&qy`nd@j%m!g1svhPr?_xtu`iDN)5BI7VSCTE+(9gOKSS8$a2}G7$&PzB7fDR zGR0!@}<6|u4z4h`; z+-wdmoF|I@q!xI! zH7y`@?O;|Oe06Fnw>>dOug9fZ6Y6?N-XnFdi5fibA+B|rKX{fN{&>8vsL&-pCtxH4 zGdZ-P&=z~h6-AW(EA#Tsx&w9!i<{5(m+rFkL$xRpAu4_b7u6zc6(~muLaA&i%5eC$7kMRswSDK0Jb7O3Nqv|#ju5C+g_Wuv+&Nr=Molb!2;ck!QJlD3$5QZeWGen zZ>}9<1x+cK)aKr&=OO1Ka~iP98?G6hMb~pi3Y;JZ(zuuYhMru2wR|iPf z4cgl7SMuG1u~Vw@A%=q_cvUN6LQ%jp4>XcZY;7nox_;{Q)-hyMTW~7S*SzWs+Gt;| zvVs(w*Kru4hv~Y-4798dLGuM)Jdca6ylgswi*!N{2`n(VWnRMPgH#MJ1BiLo_PILj zT})?D&(49!l1zFl&4C=;kewI82TW|ZWY>ARmBkxTu+?%b@yjZ(pROhF!`o1?rg%p5 z@*sSLDT&OOfl$=8rm%^djwmn2`s(RAwx4GP*Aea~JZ196;*1<93dMZCMjJ2(wWbBNLXT6t50Kb&(D@j8gx;yzT2+9g2b4(++|L(qh&p z?tlbmq~3ZZ3GwMFy=?yhDa{hUg3P5NUF7$!9@*J%O1l#`B#AQGQKJBITzsW7l(_MZ z9um56&J`>lmPHKq4dM^5-e*N$q%!EvAHDU_@Qysh^{E@t(w3bI$<_uY`d=P1rn8?Z4R>Z*@W){yj&Un+qy5^-i8mlZ^$7>FIISe%B;#+i-nb4;723bkWrV&YbO@1>h}Ze~ar!wRxzD2rHoZ zdvgtPf_B~fRhd^d_NJ2n(oxZqe4lM*h+ciLPT`RKwhk;bAYlD|TXdwZJQ#H<(~iYH z_!MYu>mwP77=8^m*#PAE)lxUmaO4@voaT!gOP2I|O>VNvfZzO(L>!qJ8W&^7{pp7( z+8u>A@r-N7(2+)|y}L6;Ep%-5M%}!2-}IJXigQ>HG~(h_=GoAT7WUm#umOkt?riG# zWFwxY4_a1ssY36{^@rAI6UWHyCzQxVA-`>$DIL;jCCU+mV*-EE>EU^c}i8bt8ip_7%gRec_h`F8- zcY2@@Y7?xs2e-ExNo&E|+lWH3jf)2$+qEp-Ye)#ekRimE$D^@i**ZsiEWDoe5@nMn zOuKNL1mA$U$$J>JqS4XGikHQR%1G0Z+9^vkH|5OS;iBZ031gY|CK%>|_dRjr(g7n# z+U=lNjw2|;fGy-Lvg`SzziyF|dYfkj;Pf89alD$3dscyQfVzk>DHn6_Tv54C+=}gU zwy!b7y{M5gsUZ4!-udo^xMQb1B^f^f&i+D({A<|7twmj-o6+Dr%9%6yuU0%p8`Y3v zm^@E$EP|U-d*K=R^X~jd@7IQLqli5R->mBk6c{;N8K{$k5<46!u-}yrSETwR*1BMv&qUcULDN5o#AF`(i4IfAY61_WeF7 zT20`*ki{6`FEkhBh83+RuUH3m*rFnw28CUWGma7aC#6=v#w=bwzpX)>_>DPQ$v;Z& zs`j2x0NGl=Ebh?lo!iCxH^_W>m{UwpSihD)U(}#OWk1=gm0cIJnekq4l^?)$Y)!m8 z?WB^cX(u?&@F0ci2`zU(rp~H8-8af@Rvree2;0r6@oPu6Z7>5jO7EwcIFqBWzzKA`;cJ<{qp4uPQ|27=F?uvx{UUST`e|`dUL^mp@{%3 zc;exMx^vOpO!NR2BefRAizJ8p?ZV8&i3E2y$&A-DuMEqlf+Pg7?JjBT2iN0w>(;q_ zbHgF~apM|G*BsoM=kQ_QC?ogX`f>8~-_;50YQH2YixXuOqduwBt&J%}g&equ{;FjB zh=M`S@P%e0g!wie#nQJ#pE3PzvkOw22p}5S7GTHGT5NLf2s{`l6QLa(Pzl{0_-MOv z>wziR7Ck7A?QZ_uuiu4nl>OP8rr1`FIKE)^U@HCiBevF2jqOqV%5oi*L zVDop&_kEnH`KhqZ`a2u-xrr5t;qxGK(_8<;-8c@hbI17Yk!FGizW@gI%=_I*=OnKv z?5E!ftau-kAEE9#LE@;+~sjCsQga}1FLm->YTU>=g4iK!5+8mKBs4M-|hk8Ff z@52t|h)l}AY?ecY=oA@s&OfHZv2w3**F9>pcFk6yyUcsI&?6QKHli$CLaCvwZNq3D zedI8D>wJu3|8mWrx~3z!hKoYW_wxC8zis#))#T&LZ`L)S;|D{cM}Hrs*tY;{A%tw> z7y1R)mnfo}v;>>fW4rZV5I0^6+!?seUuP09>PKs%QbYjdgwXNx$oX>%eMbBd>%K3< zy}ME{lQ*7&PH$Y$$Ih6(@w;zi-Hyg~E`CRpPe~3bF7eHg-!+Lni+Lu5tbfeuku$xN z$kqO+G+u+DX}=g5DXQmSC*E`Tw!WlW4UERYv*sNAn4T((kT0k6Xr2gINf!9&Ppp;; zFTahnAS>0ChdLnxQ0{e&sJY$A%bbu4x4{NbjErT-a4XkNT4lY#jDQZ~1$L6^W<5O9 zFZi~*sj$qc-lG}Yc2vsI)+>h(sC}x#PRupubbNzR(w}+P)1v&OeULitFHBbCMj!s| zc|QqRC2osLuVeq#^RdVti(8uAa2!%)8i;~|eyYcA__9oDO)|y7JNoS%#EP5Y`JP@yD03-f%SvR#N#JrEBf47}0KE!!9I7PXn=hdU>Mq)MoF7mlKfdp8w zD=zef%M-E<65weG6Cx1NUPhAwIk?a*BG1`;X2)v_j-k9rU?&9bIGluqkb)g@XTf44 zo)x^;-cD=Y@E!(OgaiRP3g~AIXPj*|T<#5fA|h`p2-e49)e)L^&5uA#Ij48N5ieQ} z{YDS7{+EkvXWpP4L8Bh{=S|OjjmH@#RUriHo=@{I)xc-Pn@s*T>++ttF@4CD+MV4L zw~N(ce`e=XU$r7grOB0UNO}Lr@|$cpGgbE30Z5z7a~q3!#g`|zjuze$CwQCS`@V+) zzl~MEqOq|KaR4wJ%A+87jHRuY-#F_?2?dN-7VE11{WGSL!yBo4Y=x?!KE2e@I&-khx;Fq0ALKC|4=E^BPSd!(ecD;9skyohRyFa&xN36+PN&;>4@HlJa z24H}<_Cc9!+9^UmReNi6 zVF+j@7hY0jS9Rad6$aZ`)o_H+CNx`8Ne0RS0`L#3XaleYFle~~X-~JQlO+TE zZVxRzdGE%JgJ>aDxEt5_(m(wiH{(x)QYrFWH|5 zLd!!j2gy&5^(e0##+&a4Miy&p(IfCcq&5q!vxAbk;s||MC3Z&rBvvw%0wYTiwebGG z=$d?VCHD59cUE4bZlZ&$@kb9rJ7T=~d;GoKsLxV6O#=v>4wMnD;rb&)V&0Wsq3%EI zEAaX*Zjf55e90L7PO$RA7-8`pJbJYXWgSwuH`nL5adK+LSTq&_n2&rC-Fn=`D5^i; zJJb`gx{n9A7Q|KtC_+FK2PcEujkXZ^qq+NW_i(BJr-PBX8`8B0=DV|j%ws5g*B-+t zl-)|CBBdluleg0xIZ#(CO_|q$k$q{qY?K zoNGdMW;$M~Sc^DM(RZ0XG-UTy=k?#t*|MtswlJ8E$a*z8PF=zmSG)=BTUv_KncD+C zsS&E>g6o=H{rPyBk*RbH&Gyi28TDx17lagn4;uB4@GUgadTK;eN;z4aDGGdR@C19m zgM^K>qShRL#Az5xsHY2LV+FkeQ0|^QX5Bp@z&c;OWYRN9xHi*d*%kOR_3+mRtnYNQ z{`-T3@2|EZB0^Dq+QV`8v?kQNw_>|KQpd4HYm z13eY2>C?i{Ji0Eh)bVyQ(%$NtUeA#^y@Pp7rAotlLd_6QDc|4{9e@_G%~;~g^kd_I zrpb1Xr>)fi?NW8r_;D3BA>t96fqsbA+iIV?KTci~_27r|yxL|-Bq^hL)c^PXcXSsc&dq`j~4+IUoJcYha0TLxpX7z|<)Sh2(n>9q}9H4<&66lB-L zrhV8VqAK@4N_0P5Wv+hZi(i7)!TqmQ-&PW3lS?C_DnD?Eq#IjRYC7okm*1jl1Us{S z_s_rdzlQI-Aa29ebt61m9&e#^>u zU5?Br*i-lJf@{FSfs^Cj=C)E>>6JH9HFN<@c@n=)E5Agk6t%n zKbZsBpJ}_a*QVahZw_l!kn!g|uG9OKmO5M;e5H<>ANjBt*_wGUpi+$uZb%HflrJMy@8zd$)XBG47Qfxn zLZ*!r@!=Y)rus3)f^j0@{R0Per^mM-XBW|}j7RWUWve5$Uff|)IcIz!>h53PX46p; zY;5BO=i2}HYc@I9?A%PPB*USCP0@1FY7K8b;q}M>=99IdGNg_$?1acdZ}r2OlG=Hg zf+ElgyD>_bDxXyFPZrx!ZyTGN37;Pwr?#fIT5#R%2}7&4*|ra+i$AdsT<(ZiW zgO~NZ(+c1K`|FTbT@<{wRe9w4dlWm@Orr!%4!E@2ZCU5AlWNZE`0yPGxbx}b);%-$ z(tx!gzSwVnKtBHX8A63l$ap^U`GpaW{m_(LHZB5dPrHp5|DuP2*(a(|M*Y z(!=MkE;E9&g1{k7*B(2S9& zpx5zb0VROiw;qAyqs#erohm_Qyk#g+Z{m1ol8g7bOL*jswCrkM30ZrUQet*$VNT(a^XZWGmtI zV57ZF9oqD@=q(v^rUBHA?}oth?n&;X?#|~oj9=~NiVjERWc6bmv4NyM!;Yw|qz5}a zV%3Vd^YXeH)&6sR-nHPakK}8Zr}#`aji^sWQph$!nJ=_E0|a`bVfhZwgZ9DEF*O9+aL)57Rs*aeeQZe{4 zAQrES&1S?n-(6ErZQFy3PDhjFhfE50sPvqxrw#>OVaDqgUBNNoT6dE!JcsYcGuOom zysd5gAext`D3`nDjw*n&3UkJj-ua_%>8({aQh#MjNMR?+?lV`edD9IUI3-;K?RFj@ z$!J~Z)xQieWdvS*)|ClZYD6`@T6Sb4g>2+wHXq;=ySyOnb$Zh^uRlfMqFR&Q6{Th^ zs9(t>cU%Smybt7bf+#D15cK|=g^6EN!s_;vNurH>zp@JQ4?l6C1bz^TEQf+quLrg7Kr_5IGt2$s7qI&CfGa z8|R7^s7V@=)+>RA5eCfJ3MHzAW&YvbeT(YdzyS>7eimP(9a}Xegz(Q+!zN z2E4J#IK~w@yDxP+50#VPqPwcSjw%&p2;w8?XLxaidwIUc!K*rt^5(3#^AjVo8MmZS z-FB+mtsa{HcDqUSJm-pbXnH?>AVyT;GrJpl+$Y@bzi{9CnY_~z9{JYWla%B7lV)gS z?6y2Su}H{Pz_R31$7+>r(kRI4)9Z#{zB8KRz@;MeIlK}j{qb$e550z^F4j<@>##?)w8+U5J!(;u*;D) z&`KyIf;AW0INIYw)oh;VJI;Ai`JJ<@Vj^dionY;*B^9dT03DtbS1dkX_Dexn-p-V= zi&5{@#XPH3kztTb*~=+Bn@fAQZ>z<{>Z~`W8`YAOiv#(BVF-dM@!9Q^QGw)lan6Q# zLmQ}1m9Vh2)!M3xucvv&ZIeyI>%{%579i19XLmTguLICny-f?jPuk|5tfqHkntb%j zm`!=%f6z8%xY>DDz`zW2!dkmz%p}d=PAS36i!JsmIXpN>I~(fGY$KMi&>(&nOAE}UU=`lOtz*-Zq-8Ph8i+4d=e{U}W?E;o`;(o>#{wEq(2L7%6uGmgz zzi(k*Dr`8(#pr~j^&`rqyjalPizO&jB{(@?9OpQ*;Wu}j0r}vdw zVZ6)H@d15>X+Y#D&-6@jfst}^*<{K|Vg2$R>XU1~PmB`cW119LOsC(h0(UBSVds>T zh+ZV3Os;SsBQKrGFL^yreu_n4wH07`(+KTu+>eyOD7~KujiL#-;f2hv^t^yIzBZt^ z{eP?C$gYrfn`1bB=3Q@s&QWU(Z?G=>EkC4QvgpxD@h~~qf*&cPkWmbue9&bG3Hm;% z#JbronWVkzsCaMuKHp?U)QDT|zVjCe>Df@0I70r@j`<4FBbhMKU1%N>$pr6wdSLAO zMmJgGC1@{3qsR0#6MCI_yIrvD>13eo6I<9s;^~*L4<&5y#GCDRRwGlyw$Lx`hprVs zLuw+QwQ$tEa+13wI7c_yO|isUGk5NRPPvTw*Hv@GQ=iG!n>8RAeec5dLAgWI5oaqk z?fjY>|Bnv|3hNxS%hxZSY}+$+CBkvn zA~=H+!<`Y6?-oR`PJgqwE0u29>VR(0)!W*LHVZMMSu5bYUqexsdA0@5P5HEL1)$=W zQ=PslyeExhrN@W6hEfb?74_E9Yj>klMZ&O`2R&2o$*5FV+uvv8)SX8qW7f8^1ut~g zKM*;5Go*%7h;C2vftN#-VpLCEEs}F^Sie_yR*|eYQzFJ4U-}L)#Ds0qAKyl;xxz51 zrk`~BY-}?zyyj2DB)6((H|`NCUz_+(L#j7h4QMw}_W+!6u8nD4);*Hx!1lqsM4Np3 zyf+t0>U{1WND9DtgW8F~^F9;X(L553Ee5aMmc9xlXp{<*%Z-E-4Zq#zpA7lz7Q*Yn*#I)^#9wPaFUxuL(2PS!7BTCN*_O zv4UB^Ifa{a`+4>LS#lSlKIY0G|1?u7{HpeYkg^4{pxj@DQ9?f)ND&(UwWleF`}03| zg-D~n;^hB%`6DULsgy>wamBly6W5+HwO6< z&eU35`k@wM)=GO-W^=Vp@Cw68O)3p;Z^`UVTUfXMRCsX$JGxc=CAv|WOfDoHoYdP_ zMZ?BOa2Jk|K|X2Vb*%mPa)N(kx0S)G>^8p~$FN9$h@u9*+EeAClk>Md?|k3u|1cL` zR!3dNBLBeRf@XO$Q4s9PMstH}wvbDx3P3Ei+7%#P9=OR9+b>LRg5*Ju*wfj!eIE+G`TC0^>i}z36nsMPuT^ubbH z97c#6#*)iYZg6=W+`o?X*Wj9{!jD{cOVh zI;aBGUlm#nWJ*A0;`4AVXw{aO$4W8_ZCpDTx6ONBjl&A_SWoC4E`Y01=VPNp63&Si z6VMA4!?;m@0k1c(uzC8*9?lBhgWpxPcl7f6o>LaxV*MBj~ z6L7kT@XDJ6VWJNYbz^za{>{3~+E`am?&1OM9MQwOqwA8me}iQcJk00r_PoDg()4@Q z5%BdNkgLYnA^zFezrtNI|@wCPpfzTQD8_TQIDH#>@omA&F1F7MPluzF)^S&Yb+qSqwbc9B#61GN}lA0mmCSP@NrE1wWGtkNh^%!HAVmnQCbE4etGUgXQIpafns$2t~C9{T$ zt^Sxn@{bvfTiVq>PYpe%b1!E`buvU1a1mL0>$0uOf~^tJf8e(MV0$o~>1j>Dd_ZD7 zQ9!kXNmN=~!Yp!oE4KA#;!)a?eXng9zoQ?tMyeE~T+Ab!f-hJ4WGN!^jdt<1OSj$Q zoe5wc?p+B%mSR1P{Q0}MUXs7CrBE?!9{-Wz7*20M2Kk6@7n0PsA8| zGGO_CsKm(-6QsgdnTTp4zq3DSob?BSzJ4)`VK zDfACMqdLj(NX%cZNc)k_MALet`db1=y{x{3xnRVBO`4$M{~E|DH>maTNW@#43maH= zf-zCk;^$lDA7XzYbn6#Sv|U)(E0Z@Ry8P{OY+bH$#V>!RW&o7LzksU7oGgyKm4>+&m(^=6ez_^W;*Qz@Alc1~6c?1ugGhS%yqWVAX@6L!6V({HhkJb*{u zXf6Cj`C;|4{{}T#>v3FAuM*SSr3xuV!au9RrE;1b{%iV{-8RB^lxv#G?s^lMV#ihUB;7Sl;&+ZjXnF^~B=Q|K#2~r|?n+C^1S+YT_5mNZ=-|1Bl z=J&s0_O_<|-!aQc`5$+8H@79IX`_Db6dc+^)`r!3j>4*Mdb^&ut<#pHWH5`eDLJB4m zH;yd=U1kuS(Vii?MqO@}GRK|9vYh_;g-<}{&5Ojg$0Q_zl=m5n^!!%vui)mt19pKz z;It&||E63c2DCpkOFSG+`d{!&{s+e*Trp^`k<`B^_unr|G%;9Es}QLV2E|d;RqGw7 zXI=FD@w@$Iap8-_zsZRTPGg#zwh<HiKzO180XBM^lA0c?&JCdG>CmRQ@b?N2Bykc_FaK^jZ@H`D{5 z08i1nFTif`e(C3w_%QyhT~A$8*I8?%6P0?5OMUt&x3{AIBFa9sW5h-N1`0l>PpeaY zCdTyijJr7BmL}OjJq>{fz2Q2&kf;LY%_ZEj1&&Z3z9WMt&h*C-&Wx_;zkN2WHMCJy zf&LSw8CpK_|HdxM#Ky;PiY(HwrqkU;scor#$YQlq8YO@Zq`w`}^!pVGTi=|X5y1JM z{#nJ+>woqRIFy|DzyGq;-RzWF?;GiT^dL*W z$308PnHJ=_B8@p=E04gxt6D_>5pttm0S z1tytQcM(P9_g?7S-uMSE#ya0)|1#VLH1udO* ze{~JK`Q9TSeaTXIEg29}3V5GmlIAZ6Gf5@=y9&|?W2IBF*^UFQt77}ykc`aPA6@?- zRZkp8=@P`xI*TtoK+*bbRP$F0_ButQc(+rZloR13{LM?^+{-iOa_6(uF%2z2DxdF| z)}^;G?sgFmn9V|rJofgHQjr;B6xl-&(oZPTr`99>reepL;C9RV=4^-u&Hv*{5|1w7 zL=U8)Pv3kb?Mj#6-kLraoHndiGh6r?;|w8P@p(^lk~Odm8_JFu-K0J+IW65DjpCoi z^>`IRKGb(N?HpPDTgVf-VGmY16gv^c!kL7aJgZAe)4?8eIJNMg%@0~uap zc|eKD7;vDeG#JX3#ok~)l8AL;>JjsmI4{!wjos;t9b73VUb5Lm5SpVVyCp{gFl}F~ zTOGpvg;oHBr>OKgZw0WkYi_b5Rd-;e4^n)FUmiLHu{Q0Y4bsiI^8hMHzL%6=3&d&b zTjgIusGIbX#4QS7B^lK^p5IJda}Bmp+}Ap3(f60sn=1o?b1!0E5s(~Dx)SQ2+Zvb< zl~lYYbj>H975y9%i&^Pzf1LIIUvt3ot$2oid0~XjL@GRjnmiQb4eF3BcGbBQL&nww zhNBeA7_52`NS2JW&22}1X6TMQlc>MR-rT3|SOl(!H3M6erPWr%0fK*L^$41$Cj&_@ z4I4y2|!=8Vf4+7uE>fI zY?v>-XIN}9ou(nZ_$m%9d$Acn3ypn?M30B!-37RJGqwWf3}QO8tsTC(u;{Dv%OU+E zLB|e@$vN_dvXnX%{%P|L8W#YDl+33S0E6Y(_^v>O(AXle6FBFCbAD(`^{qPZ@p-QP zDWOQE203l84(*wafF?WVJ=ejtKbo`Bn=m4~yGRu%Lt2ipyEFt2lMDMZ&!iUW#uJjq z(DbUvaz>~?eATT-Ee9-_@!q*kN9`)n#iohqMa4`)+8 z)0kaFVUBm<6XxVSMSGT{Izs@f+0u~{Str8?0Uk9`&#A6S;wdZsM1-mh9Npo6+rsx^IV#OETz^?GHwYflFi)z5v-VTSH z7XLLym+1?m)o)<+2xY4xN+7T0nb4@{M5x z4lf})ucKlOvVQs3La;&+D98z{e*H-Ax`?MxUJb)J9PJD@eoH1b7pd@bR}|S+I^vC- z0!d4gs3DsLKYwyiI-Vh$Ni=XagsK499$K%!K1^PqPraOq7){VkQOPbf2fyIQ)x{Xtny_zGO*$GJc{jx zo+ntCb0)A3R8AgGKj6B2^B2o!EoPcX7h&c78Qm|R`C-JX(OoVXEx!6E+!Cf@rzKcD zEC!nvWbd|my;#7~MtFX7eX4(_6&8gr_a+6qOQ!oikYGjYqEAu;g<<$@cN~O19XuKOs!1z(Pg(2I9u_QIv&vIE_cT`4cfW9?)q}U zm!*zLOa7@c^Ys2T9mp#_eo=LKTiLolDV~e&_mlITkPyL^9j8^KmHJ5l?f5ran70V^ zk*5o~ZT4PP-&#Ss1NbK={U@qkJR#P@>{^)Lgnt-Vhf913=lbTT$1Wr!{?;j+l$0r@ z)YPK|5{2vc^Btb~H79}>zY4X)jBV%B&CDvxI^?u)ebL>Mu(gdNs;p$MH??3lF4r;{ zXu>w4%Eq#LWigF27Ia;oc6(Yuz|Mf{@r_kOdn9j3eFLvYjj-p_h>A;ji-|5T^*kVj z4MHx~S)9;Jp?o1C$L1(oL2ACY$TQH;=mXLBaf0X;m`ZQ>L_}8ZZ^+3_RO)I=yvRUn z+$SeSN^t9%YYvgy?;a{Ed=AkTkHSZvQHjRR_Zg(EMj~j#y)ZGkmEt4I3ORKtZ}hASv!uW|z zZSOAZ`3uFTPU_Om`@1@w^FCVcohm2QSiffM-K5yom{Y*8VdjI2$FaonFV~&A#>`~g zm%Z!5mg8Vtp9+A4*b+~n3FzzD#8w~lV%52@2B4W_$PxDhKfUW_CCM+{Wl&Sji{2G@ z2c8wvDt2-zY-ev8G1QgWA37V2x!fn6c(f*bEG070ZzN9X!WnO3H1)dq#TRbPGUm5Q zI#yQ_yfYaFWEddOoT$rq$=FYo5WTNmel{Fv8-0p;A#*GwAKRTb89TaPn8!c9Xa_V= z?*q?B+|MB3!R1W#lw+&C-?EVkG@Z!1k$-XYpx<1i5GFgr|~es_%s45k7ALFE~xZFM&qe?Te${LeB z!oy;&D0oUL4BB{B;g^(!dpw{xKF0Ro}|TKyOV@pxYxUg-dR2m#xbE?+jrja&yTIW z43Q49JsEKYEQuR>Mf~;ZDUNzmP-l7mX|@FlAM;SZXvQiV7R8YJ1Q%) za;Zr_)tq#56VHCd!I<^Bh3v+ilHA!%-W(uB48WP>&f#L*Kbm*Fq3?5X$|rM*I~1hV zkub4Vb39*au6I$9X7~K7+V(@O?4Uv7qdiKi(c{*$Gw#u{xph2vly`qeITmRZD0UB- zUFKnJC~y_#OP)z?NLErDYj7fHXFQeAv`pA*wjLwBM&fmn3!?Y3Bp>4)#DvsR>zx8RQCCr+1OzHXzv>vd;nUoCc=(Y4I$@Ue`MFwb;xDj?L5 znNB|(7#rIIR~VTI!v>e2FJ$1eFy3yJXk9i3)oI)N4Z!fxLLOANNU@Yjc)VBR%l|e z_6BMAlMS(CB#)EN_BJbDwij5N&z-LUxAof92YYEZT8aBLkZ0MooA}F1p0m4=$3jFv zDqIG{9MkuydgQ!+cm(bj;Zcc-`+3c9MdI1L_g(yO?)lbMIcYozx5JGoH#5x`?`6J6 zhEGj=aiYuaPAxS9ZLO{Drq5|X{N8PMhNQKZrwhjRj^t7d>wHAPbB%%l5`;Afoy}`q zoSkEdWq-6`l)Ig-HU^3A(|7|k;qXv^LsN2|rbM~-1UAvFJ>gi-+35(&1ch~>%Pz-r z0gK}r4;7pa$Ip9yULZI2T+OzXP5f8uWWLsn4rKJzAkC#h4(6uwsj`=_2_tXW(alaD ziAYXw1MVQgiImdHAy9U@jnxH0a$^(8P{Gwos|$nJm6mzLS-ndCM1ReIV9807;TYeE ze&ik*L*%(JP5t??)!hSa>t=Q$m;mI-Jc%PyYU-H6#U2~wAza`($HKahToEfbGONnA z*g`EF0RmU{v|CT;A{##_2`9Jc`(U-5mM>le;@5c3!#RF2Y&xx=eHf-UrNV7>HAk_M zW082+a%9Q((aUIZR*mF)Igvh;Qal?wh{SZEAAE#(QzJ&&j0{e6M8j1+3*O)S?zKQJ z8}nXoqlS1NOKDtHOzh)lwtgY<+(&LcsV%Kp&s2bQLoOwkxI7eX0L4n1Pe^pGCPb!B ztS~-1nrvi?9!XG3aXTDz$LA>yjjo#!c}f9y2WpOzw{*t~ZtzJUAkD&P$Iq97n`KbjuK@(*N1>pQW+g-fm1|NO&S~Zg!Yd0GrC)AHi+47@dai9Q6xtKw zrH;pdEy$xL0*js69@*zjRQvb6BIsDA_T`*?Ovj&zJ{{ti8SS2y=e6=xzGQw%u308M zZ5VCd(7D^7U>J+R*X(XEZv#k;doJk5F}b_e<%Cy{sE1)de-= zM}tQ>pMHe%yGVI}by7MiVjU)lC63w+i84m>q#qze4|9k81S9pQ1$ss zcsa!cdyRD-i6aVl^bV*tQZQFuN7Q+?Z<{*#wDh1k{qo!F!m@sJP+k>~FseBnulF!+ zmJ&?Cvv$lL1Seh_pK+XOo~|&GwjFa7zEeB^sZyU1_h6S9zWQ#-bqqJ-plC~`GcoUY z*(dy9m(rzre?~NRuv}v9xgFQG+hB4uCP~f_?0B3CS8H6ATzF<>+?`6pb%Ho^k-%17 zH@0rfb7PQ}iZ51UK9VP$I+svuo1PHcs64&M6Gx=22(+hGuyB9vUK~5-wm9TBI-!AH zuQWZ@pHAw!q&Tf7crexB$|KQuIQLue^rS04lNWagII3?3DZkLAFa8VwabQ-5!d zon~`XjM=Zii1pyU5?39xfUS#Kcji9b9{(H$ya=%9$A$Cq|o zjy)ujC7(Ewerg~xJr*;+{2;I5d$2On0r?!4CO?I&Pn5Ade;xol zXY*dnj2TAty}{|ZvXv!~5Gx1ZgEceyLG>CBSFH{!3KFHj;{~`Z9_-y8wf*~DGF&VJ zy00+;?e^x~aoU&EeB3g^1wR(7Vy!gGY5_QS_JCZF?75Sbnif1ww^`s=Z?pv$NjuXxiS5c8qSwtFaF`1n} zPah9YOTZep9PE4}v%3#je76b6yP3gtjqzr)1=PR!IP(xxb5pEpAy#h;c0Wz_7N@y3 z6`iU5re|aR7GnAKJ`u%K%R<9TgRK;>kU0Qcl0Hq+B+rk3784x+o<}h8$_Iftv@*{jxn^?WjQ}i&NA6{cm7uG*`8+UuU|dqfAj6lqOD(#M}8|m zed*K^nUa^gi%)#nn7^MriDx#m^zpK3Z12vuU2XO(_TF}9Z_=B0IdA2o(~qAi@s*0+ zSM}oK%$+}$i2su*`SWK>=;STF=dM^TfBs3oRyX|rk7F^_zfFHWS-SPkulD`3?RQqL zzHiR3VZPRKzqlXWe`U*pZxwxed)IQ+?wQB+UY%7wUB7VqzWP;DWqzGpY5D(B*0#GQ zGmfvzPKox{J{zUF^sNhT^sBV?=R5Up2FE4h-fCSodVNRu*t6;PH_s1NjC-qNo&{Sn$_#n0E{qnU83=C>M1bP0l+XkK3Z+^V literal 0 HcmV?d00001 diff --git a/multiplexer_waveform.png b/multiplexer_waveform.png new file mode 100644 index 0000000000000000000000000000000000000000..c16d1730ef39d63eaa4594341a1bab2ca84776f1 GIT binary patch literal 54391 zcmZ5`1yCJL8Z8hkcyO2C?(S~Ef_rdx_uz1`0Kwhe3GVLh7q^SU#hsU}xBu?GH`P^B z)6;Th`s?rObGjpy6{V38@DU&&AdqEcB)&sHd^&=FfO3V0{hJf$_}TLB4cbLaRt^5| zMVY@k$#1rO)B|>Z;cz?}MJ%@0#w~PzVLG zhbvn)m+#8?Od(~YvI!LbPIFz9g!VGiy(ip3EuBfbKtqZ=dLCOchTGQ@h~P*4Kz)RgTSixGm3KNyE%V>PjWx8T zDHEe*c3cp)iLp&@9kQn<};EPd_|AmjfO`=D*J-*vRS9Z?$Ixo)ksB_P}X?f%~{TH9g(4()mDDRaP#Hy%&$ zg2dqa;&H)RQ2iWrb_D9`PJD!(e)g|IsDOO;a!BC?t^dI)yqX-EU&a%H25;o?7-Gqw z=%*h-4!iH@xkU(D2=lp(CoqeZwe;B;>HLuo(!>OR3k{w zEc=j5|Nep#E-?zY?4(4c*H-1l`)=QGF_j>A`)t17{swS;96e15Dwr^8$b&nk@(cS* z(@W?Ym{5?08FkQf;Y98<{@thzMgzL=f%!D0<$(SjQo_jE?L;LNET8Uy1piFiom`=l z{N>tSr1L~6+tF?<0+qTzIIj- z=2vZi$#GWpCtZmL~TiE9Ewg3onkm*Xb7Qcf6L6(mLWL6Mh z@R)3s@E6rpo(&&KxfO0jj`{W6Ho6_}WS(+p=0eeYDzkrRDT;yhsq?c!G18fk2&!`z-#+_f?kDemUD|ZJf-%50SoU2}5{sneN|qB8({(agTT_ z!!eY{3+Zk1xl)VpXDa0iF()k&Uq+KI3ER=0%l2Xdj8Wk5_<}9TxR{f#kdkVpL8teQ zd;6?grz&zI17h5;e9fzXwRJf#=5AJ+q#4g*t+5{%y@=O#K#3{8gVSs{IP1koa#ILm zzea=G>bhaJ-H}@1nwg^gw3H2c8FmY_c-5ZZ3!pH7mUOw?+k9xw`^F&kjW}fYwEw58 zmL1K4#9QXWwh3W6w)w0dH+bnDEdulT&iW|ha_I{cx*O~6tc*AxO!&O0V)>`VEGcy} znD~%L;NI6}X*7A2(imQN^_0L}Q^)F@h+Q&Fz?Y~66D=r7mtTjPvd9$8TLevxs`{#{ zj>?qUu+}JWqBR+wUq!{ZztBATDmu@1904#k?p0OWkcpBY1vieWAr{FJW&DuK=M`!y z1s@6+d!$5dtcxd$vp!=^8jEmA6pVY`%95xyxL-d7=?HXj(3PLg?7@a@@UZuZmMc** z;tp6AxZ;TY*t0Cj;VAF_{MF4Zmk00nIc4=zX>}DfdgQKa`*Gqib!^2(S5s)z+Otly z1Yv*em!G?iY`G;VAtxnm7%%L_EoD&@5#gsZ3Xn999g5E0&@9TVp;2vXI_PyY$q*iE z*){6_HvPhjd85E=8C=4Iok2iI0x0tn@GBR0P1RnTsHjvipO(G_n~zC^Zg?@<%9e6! zI&8RU%VS4ZVwMwNT9{O)m}kDHuJBhKj8 zX6y>~J~x#xL!O2_o*HxT&Ggk6XiJ4y75?znVgMp^_ZKPmeDC!tj&r&_lzo_Sh-6tAY$yVf;!{h(+(}H*_BF~JKfuP46IQAXAPrO$a#|6CeY2>=%r|9{T`h?Gp z*L$nblqfsNUcC|B&{%lues7JeC%bZZc7H_*ig*l@+0vj=?S=;4V?zTEXa$TUGhcfe z^?2RC?0Mhm_Ba=WtIsgUxKqq^X1oTY>9SvIuwU$zz>YT7wUY>w0ge$&mHjmDv}V-A z^n{}%c*EKTl(!Q|$uR5+6-yxBVNRizNxP>$eEo_FFg&OyI3)kQkUXl>ku}eA5~NTV$m+QR0isiDNVsv4?=m)nwSK17`n_jY$LtoLB}3Db^u-!R3tb4l9{@Ho-~! z&X;5cZ>!4J1`C!|c(5I$XgaPf1e)^Lo>ZV0p51#>{u332h_H`}t>%`EjE4&psLN3i zo?DN%$tIp{i7XCzJc}mw+h{|P*y!s_kU@d;2!2@x-{!3WcIi|?IXL|+?YCxzDz>lw zN+rgN3k!{4zDh0=x3B}EsZorCsPS`O5cBJKKe~6}(}*K~qbeC&l;wH|BE4YnZYz_r*JD;*lC+~uCU9gz?PHa z76e9`ID?`$oM{#osE&N3_}^|dSg~%1L9KPo;EF&v@QWeiVH-?A>*JMLM9uDHfT7Hv zD-Ya$Ozm$1&J3Z|rb_8e0D96=l+_kJx#1V~ov#m#6|JZHuVG6BxQ|E2C|}sfH0&I= zi@2S4R`zY7b(>{JubO}*|18YTb^i{&*v~D13AJ(i#ljxuv+ERI{4_az)Z_VxZ`iP0 zZGIm1G%^icQzyOqUeINj5rM74QH8R^Hw`BFlNg)7tti@Vm7=`Jg0+3G zMi8UBK`BUC&a56v-@)ic;H|?e1+o77xMR|x#D$BcE+36y6@7!}5+A2kVlkqN>AKhF z0bh~YyGj1mgS*QJcsMIjtjV0nbNqfQ6G4$ov$*vU!5sBne1FKeO`fzL;w0n$AscO) z;(?p@bQgep<(iB_g!VzH3Xi4h^;k5V*yDcrEzEi9Y2)ABgBWG=3Tkf4^O%xk_%Q`E z?NWQi3OP&WdnczS>Df4u1N_l2?SDtV*#_EgS}Ti8*^=-E8wzby-homKA$%%xl(&=g z7HnIc-GHZ5j+mjb8@1m$n;(<5bJ8>4x*x*0{<6shMeF}<5H=->QNF1c@>AY}B`h{{ zS|)Pj!R7UJn<}oKJMmue?Ow$XXH$-PcS`lXuR0}c*S8g|M~`AUitp}w9)b7<{cxwY z2;s0ij&jUUCzwNzKab>u^a%QSfAGK{h)@l0J<|+xqwY*ojei2#BIa5Dlv1dg`Xx`Y z6IR#0MEPT0^>wQ%>Z<6HZcg{Rqs~Dk9UCvxd?58v-wq3nj((8aH`sJ;EQgAyr1RAp z8Xx<4XZ9o^E4t6&aS}eKpEw%ng$HGyN-pb+rO4n~8}K&9Cw1Ggj-2F0k2joz2OC-L z@~~d-j=`@7L1GE!qB^qIMzJe;w{IPXHORtKdUB`;Xil{0*WhbR>TduXj(({He zXLlW}4v4&XLVW;4$vXJsTvKE!xSq{{*BWaXrEx0jBSsXq(PzDXR>zv*6)iUDW5u<- zkW2&;*Qd`zseSsCDAfdpJuH+G-A*tQ@6Pnx`)NqmTpBZU8TnM{hqiz!E4sV8J7}o) z;uq`k3Vz$de3}q3BMt={ZtR&u>x-C&f2|A7PVCemXrXagsiG{l)6TxDQGOAPzfhtAg@F3~$6h3!+~X4aTjheQzcf_dL>yY`K0jeEVD zu$Ya9S4$ECMr1QBy&!|#qMFD#n5XhAoSwj;o;09&&BMBKt{CwEH}nF0b4H&`*b1RX zSd$}}@54k>x7(IbB9_O)wruLkM95~g5m+AvGAjAn!7&Ksm@q_t@=$@7!$VjzFg|LN z5UF7>Jj$FfgBm>xtdI&SDrlpNbC8bP&U7?Y=iGHKsDGW-85Sm1Ep*e;RjMWE_$L|{ zJVvKcprHE38?H$I<+qaO(MaQ!ebk9!aJg-uf2b*MSKb5{U$jcz#S?J@WWTctPFZi> z8qLK7%6-YwjKAoOp!piY3dIW^1e_w{>M&6j*FR#wT-V|brbo$quf-oKlX@5q%+}6e zNc*gr4F7nd)Ohc(N7WWLF=1!xC^T=LCyDSr3%5}a_mDdu(eI?o!tR>f{_%Xy5-a?J z1Acxin?XQQ)ewt9!=zSLUWmrcJAR^YwBs+oYs%NALz0fiV<}^EY{q3djC0H*V@*-u ztC0ycVoq0Lh&x7#lA_TFbM@hReTF9(sIzW>3SM*2`VI@jD-;%e8(5eAbh;Zcpy{jQ zOvio=OO2D+z}?`O7+C!%GdVu=Nx3be`OsgkZY`=q)g9|dbTZ%j?u7vmZEC${>dy+9 zn-I6T1(-f9DYj+g#}zkxEnKMD&uU&$wFo?!rkGX<-*wEL=RxkJ;2i8-3xDsi^1h+H zz;8W3l!lY*F3t(SC%1)| z!hFVtUU}E&6pNYVSFqtS^YuVLDH)4xqoIZSW?qPs3qUS{JxeC9>w>N5_jmsOMH5WK z6FiQp6g(o8yomBc?_EXD>z;cev!SUUw+VaQF9_oEyzDwKDlvdNxTBoe^X|N6W%c4+ zPx>?5j3&_pIQ(w+{-FuIp};fPA1Yl||5(S}5#nt@G?S;3o4dOKCcabmf8A;l8dUhk z6ro4TcyIo%J}1`Du5<{aJ-Lu$-FBD?BT=4;uCT7K*sKV0TSUwxMwKMVFEJuBksD~h z17~GjRq+-JSo`L7Rl~2kA?EDVCK#UTI+O zQ7{m5KO8Q$@o&7%19bZG@2K{qcxYbFI|aDOW9RE4Uzq)WqB{deK&EG$s5i#zJqNOi z%gx;{zn&_)fg>~2Mg*UjmVRuf3y>Oxjl|n)Td{U+5i&;*)*l}6Tq0AXt zv#FPuthk5HZyqwuzE{xi=dc8;Qd>a4EHD`i-bhN?T_~L-gXb)UozKZ=F|+;Hr{DT| z&=fN{N!V`6mrLatK%sGQJ7N9vxQ>Sk0s(TFEr)qt%pM01lK&94>V{AeJ3>*1H&~|T zjF<7O1t5ah3cTttV1eAW;@DjBdiK7t)uc)51{0UvT97@iR&tD$ zj@>51xD+?izjB86&R8_m&D1lR?@io%T`;6LxvqN_J+4+dl5ETDxlmVQzt`Du=2VsS z?_jP>uC2^fd$z0AUU@Ta`W#tlP(Td-@b?3|F0@209~7E`Qz(=I6>FW}VSVjknt_rB zyD+x)5#WuItz16F^;qboC681=5F*ziuBY?!cb*m;QTD#6D#L~@MN&1axaG;%2T=VI zY((8-j3j(XOl;^@#nq&0GyCbFq;2Uph|wTcgNCg9mgG$IjnH?SHn%56x{<4L+e{ad zkH>xfa%5#e;!NBS`lbeJv(I7;PCkr6{`*!!Yid!E3WaQ9<kNWB9gl7!k^ix5)OL7D9mOP?I=9BQ`y-`wOjo3$4HnA=Pt_&I=uLC4jWN zYa1M(0Yyvr-i~n$iny9gZ2p5s&O6&p87E%kyy)CS)kC8*RWFx;X$X8_-&WOr8?ajk zkD+s^6XfzZmGdoP!J;Vq+NpbTpCVd+ADi3XQ$!`kx>cskNC^MqIQH#H zQXKHy9-cBiRBlL)!W9q|rNVhHUpd7R8s*6Vu%f7~91=iCFaC9cRmv35U@TX}RB3YJ zq!uovF*EOa?id&)qmTSqe%k}l_nnk07ieJYhL!r&E89;4fTOPxp$)m~X0}4J4~yk$ zah>4snO~%%2?=B5_S1!kxPOxJ`Vc_6_H4)vQbbkV8H&z{k(a9+D75>BpY>;EE?^c7 zCn0KE5`#}eAZ!&)TwVRUAk^gER+KRTgRew+;?uRN+HNeVTOLnwe9yU>4Dxds``gjo z0X&EIgX0&;{ytd>!odZM$tilxt2WZ@8zV^8@fhOj!Fq=4AUdZK)D35hxFsWes{Fh+ zFItp7YaLa7=U@Z67)U+vqdMXK+8;SSnijoZJ01n}qYcBl3>JbckfGDnYoLQuUB1~qW%i?w!9e?@H` zOe*}CU1$CW8;0Y6I7(lMJ#9vWTb$;jfpo0qe@K_?#$?m^Jx-*J;=Edi5b8J*wR|6> zEwC7juUTH6&&wfTfGnxP7hPgSCzO*Bl83ROJ!{QSv)s95BKzT^!{4kka_TSt@5UN) zK9eb<2;0h)NX`7i=>NVtA=bwiP5F=hPf_LrKili}|CI2r7x{02LXw|hP@M-@^Z%_P zyVe~7QPfqfkqr6|@Pu1KsuYL+tp=f;`wtWf**Vmo4FA)|F`NkY(f`!_M2hJ8&(Efi zeTMu6)Arx)-XKQ)!%hC?`a_ro{-fLfZ9*90|3hm}KNE_!fu-}`=KY-s^;cIo6b$^& zDP#GrE!o)Ffa~_F*Jfwy+Ec93B<-3p&sSR{#;H_*diM z>j5vD@9P17G0erury$&7(RYifs9`fd1?|Q1<}KQNorSN^s2SJ;*Mi%Tk??t1#$x~y zgsiNr{-UGSeh8FyP8Es5>^9-3@5+FapCS+vw zFWYFGs|Qw}@`=G~ROu|1olD0N$5fx{Pc^j1y*uG0e{p!`wdbE*2#h8c#Q*tct9mDR zxVonbUjb;Th&s>YdE|3YwW6(9vk60i4vyuvAL2l6!m=x1QUe|@UplwSAQ!95v_piO zT%vHi)*NhPVO5LXJ*p5^`=Qsgjyy~Lp6*12HYEwbdM8V~_lmv1e0L`^tJ(Mj<*fKY z9=M~*=R398^#L>RL{EYi9QUP>{b`yhj^vT4*TxD&@C6fc@*xh2^iD%jy>teVNjC zvJ3Bmoebr8@mp``_OmPBaNL`V++XtvFO-Mz7Rc^7y<<1(ljzc_|23~D)H%4PuC8vo z_DV#Z!UtVEzU#`+tZ35&XmySJKxNov6IF0NaTg)^Y7{wESl$7;<@;okx`IgQR z(bmQXf$)59GCPN0{*3_oFK;m;NcO$#@agA3UaHjZ&kYQXCS-+GnCH6_7-uUht^6^$ z#u<&vmp?9f`k}LlUHA3R?+#b`$o$3JmJz-kw(lsfPX@y_3QznGv!D^H)Ezpx&90Pp z_ASd1ed%L@0I98dLQc-`v&4^x<{tw>lN;J~RW>w!=hIq?l%CIVl$SWmc~A2YG(LCs z^-{M|h=r=x*BeM}=UHz+9wXK3Ifgm7+pnn^!3LV5wMryo*j=-Bw0pW;gTi2r${9u9 z*44t$f-}!|4ey))9Gd!HtjNJty4>u>`?$}jgtuGvQ%X`g-l!cy%v-*lfXcJ=zZ3u9 z{gn8{=7SNaGd(Up25!wl8vE?L62bAxgoPQZwO+`U`C~I3-{7M@GXzEz!RI>`m*;&H z`q1t1Gep#1*K+&jRwhC+(=B|;U1_m0v!hi!n9Qhqv|M92zZaFvV0t?;`*W=Au7dVR zwN^7`?oJ{FCk=>0ek2>TmIZ_;ttvY__t3${wJ%w^^|YQgc%iXawqxE@+}X~%otO}V zpFI}-E2<=9B?Xt4+y2e}==x;3saT7l0?2L)%d9K7Qp|`@X-}+j5RM4Af^HO9GZ?gu zQPB^i{{u&NceIE#>HHDj*o9Z9lo@ECIT@>YnY&#MQdyWHDqYbGu~T`!w!0N_B}{if z=d9>91NLjqJk*D)2>RcaXIWaKRR1RRR)6xhBM>*D`Cy^1w&4ZXqevZ7eTPM+YI6L7b(38TVg-5VZjvC9LGLI>5aZ!}oEN|Dn}YW1 z_M@ir2rOjA-~-WFGj-5RMllCj7Q&{<{4#)i$+U5)ON)nl3OxXfR@$fE7ZH-#aY~3`}XcoRci8 z`l9-`Gy$w}HtlwMB8yD#Ap_3x0@{lh)hUmFsjeToT<+pvkHh_JJ6jV@yO*u+x`yK; zUrbmO5-kn|{*q$DKc26>FNyPB%-z=s*bgKQ{^H{QoCRPx6!G`F@G>>OH9dcJ=+fM* zCYDgaJdjSo>EUt(fyPY0dr6>*ca&@Su`D%4Gu5Yas-g z>vxC~uX<*>*vy^;M6sDF4(F?BJpUk8bS|A<`@g;l`G_dsHJXj($GkrPfETy}4yz#l)63AmKCv_^0Y z8TNC*7`1j8H5&edwR!!rva%Z$QhzuH{ia-$jC8r^yWbH?`UCrS298~K9eHFF6wEGs zKE7>Uy6(F2IPnm9t`iS&F@%uKd`1-GMR;6-ekc5HaYQS`MBwbm~2WIPlK{wGF)_C z1whB3`;}t;YxkkeL#$-kQ5WubonNA)H8^$oSeDrw=vY`sDvltO^@{t(EG1F~0wdfX z2y)r&pAG45aaq$Pj=lfJ_6YH>4333oA72=ynPFGfLR?v|;w&cD(_H zEippUN}*a<8Va2Au4U_l;!3zjLFNxp}F<*e5k7X3R)hJ-Wqc&!yt` z;s9+`3Tp1@O?Xl?2ASUg6q#ZxY)fxO#{=%+MRE>!toEnncu9#%d^x;~gkd5(?1n+rxVXr>gzW?(-jdks{A zq}L5pC-}YnvaM#&WGmdNDf7LU1|jfgPDys^ryj3vL2fVEY(L*y?}v^Jxs|^G2d+y^ z^rS~@S64Id`8!LWllv>k{bE*JaUyLWKH{ku`VsSvHf`&-t8-U2XV&*vVo>7(a{o@(JX;<&r$64R=?3S4#0Vw zUjNV29H|?acFJb4h{0xq0dazRUk0-^A^}%_$d`Nlwzw5ehJbr}V$Ua+CdtfOLcgy8 zE%#rGL6NJC)}mk?`RGi&ZL`u zxcVpf^XMIIh0&jb>sPdEFcujz)5oqS7m+6Joo9EvZl|wn%W3*Dr{!0*i1Qnn6pic= zoHoo=wV`K`$y=A!!g)UK)sf!%gI}GT5g4}ugC0+YThFSeN4j@u?Fm88?+xz(Gvpv2 z=_7t^-b>`oH&Yk_cd0KtGRK@DbdbMKb9Nbw`#!8;qs473;@T%Igj<( z*X!kBHinhg(3OEEX0y$1A!Bg)<%$te&mUC7sgaSFi4IScD!&D@Oh@jAthDe7?dXvc zoR+6*)KbAmY~r`KT$0BL1lhGHsEs`^oAaZLMy1}=qZ7vH=#<&<+#sRoDGq)scv8Q5g$cH->;IxjyAEgwI(etrnEaj>u3 zsMNiH*uLj?u&X=2U~NlZZerw6=;!btnz(v-V|?Ed=<3ho%;N5@x?k9T9{}YW=#Hr26>~M#KE09=Kv1h*hwBWQ4{Ra?U zpW5${#XB}f!gyGxQVaf;6ez3}fhAx2G!1GVi9tgazHC#vgOL?}R%IUP%JQPUVuH`I_@^&js^V~B*Y||YYIT31$Dc8P1XJH>AOtO{MlVe3tfU& z(47)o0Wsviq$bmm;R7JGhtIoRdKzN+bIv6R%C!HW1o+d{F`i!ClgPhOoMiJ1y)6_MR*t~L7S6rt5QzoL3=E)OT*`s_hbv}TB6R%X$M!YByJTl3 z@6wzuh)8ZtE-0K1K%kMPma;1z89tmze~<{ORRQB)9Q3Bx#G4* z{|!8Vr6{Az$VFl>uk4Dv?KSVvF>jFbnZylp81ynLkA1V2nbJCZG$^haO(qE`5tQ&0 z=Lo#fJ@#9f=H2;Fq!eb)5Qqyp6iTJP#1|_(<^(R(r1p2#EV91$Fu42eeWBp$oH|Ek zknxuq?bCaXU#XbxVJ$%29!xR(u}})VH%v5;;ovZQey^-)D9=M^K5%u}-PULajK-a1 zn+lp1I=Mc%0DCSY9Q@tsATV*W*sKN&C#JBeU^=$0Pqgh}>oIWypyFj(2Ali?e#F_O z53gi&B%9@1(Rpn6!J$ZB+x<>&JN+HDu%LF)`7te0&(gKiMo`DlpnKhP@UmZ({sE8q}g(ams8y!#04ewLs5 zGJtJfN(;Nm{uiq^O=SL`)I7Nm+L>2;zW^>FUN*)A^7BatKy3nCN{C1uL#1WV7^Q=% z@#pL5*0zntgG(VfJA5ef-`>ck~x zjP^E62A}TeTut9c!UYF1w*f8VttwHsw#Z~PRl|Jtt0CQoOS%()Sk2b@$dK-|q>A-b zQLC)2w)Ar@*>AtL%q*82veS^8Hs)^TxWG{H5jCCr_SzGzn>7=Gk7K^3#Q{jvH^r<$ z#*)Lm#uKfNog0CV3v{kUZBJ(@w(~|8UO!_LdrB`Mkmj^dAEJDdvJQ?kL|4!#p@)Q! zSq}5|_#J=bPQmo=hL)q|c^XH^9V`L98^|uEj-d8;N0HNl2e4bm>ua-T@m7BfNRgtn z=W)}mQs@CD+rX9SK|yJYvyaNyHvNS(!2kNwl7{Z~sOf&12e`)pF|lq^E?MXUBCg|^ zHbZ>-lrvD3sVnTJn8R1L;Z*Bx&CqNAAWloyD);%t@iFPaWiNj9?1PtP5Rm%_k9q05DLn;++|zkoGa^7lm^PPe)#U{@Q0!DYvX zV;t~-lhRFJ=%+-u=&y#32dn}47Xa?ZVOi}`qH~k7c@0~{+3u2@F>j)h^6wfrCXP+b zl1`hYT*kExOs)P(d$!F(Cbh@!e%fC%Lg>V{k3_AHFbp1Vq3z$i%=>YaGuq6UZw$15 zW>>T9jKOmWLL{C{6Yt#fj?+Yab}VGKJV|@KNP$bdgp~}@dDF{qa~|Ka9;Dd`_OUlr zymPhhy5Yuba}81HPk+chczQ^#7lhheXC-_Zzu|TJ#y7>ayXkDo`(b(S6W?TrDnq$) zf7svA)Cwouy)&K3J(lyDfmEtmoM(-%v9FumvTv@T*q!2|INl3m8Clc1*X)7uer__s zw-C3qWW!IHm_;(Z16~%kFsXvMa7BoF!C1~(BPc-|oxO1XP;~8_W&rlj%m+y4nUROY z@L972x<#e_F%sll3M}Mdh@Px*dKf2*&3Hqj#%xJoM+${7GTI@pSQT54d!&D7yX0q! z?GDBh7ob7jdXbp?O56z|XA59Y>=$kc)zm%SSbCpoZIE&tVD=xz+w-CLh>d{e7xHR4 z7fLAfv#k*d{3{okNX6}pGpDfluZtp)a0T5FEUD@=1cIo07qjvd9_D7&N7uF%aLy&)}qzb*wY%CK}N*2-FAgv*n~1QiIut>{q>B!63x)ram@trY;t(ghl4l;i?}X!&sZlu3dkW z=f|(jE7e;3yq0uO4U*ert~qfvFp4zYsW?57+@dOJ=icYCp{&Vb)8B)s*t(pU8=}kV z^E9ZfSpH(>Z^JuB7lIMmwA*6G7phtv{WEYtd*-n&1vR$#oKLYVM7 ztY1T96mRrmNFRW6n(wwbo9o z&yW4#K#HRq;Z^mKY7(RmJpSAl>=#})3QI)ZWaI)+gDXQm%r!&&=W>d4-amPhj1snb zIOY&aoR%o1=gB3>UN?NR{SPk%esiX-4qNP4-?&s6Yw9$l7b#5~rk%q%9_LKUVuQX; zEiF4(+zW6^zaQ!_9od05I;hiJF5jRp|DKIas~UEz;P-gxTnQ*xEbmGS@c$lY@^|^i z)fc{a#-EM+Bi-`+PifwU9D!eP^3*{fHTEv=`@=dLC8~uVZ&DWn2N^^sMgaAF^>p;m zV3uUi$sOY3@(FZnII%=EM(wFKcr9RswqDC|Ike+@Qco6lQ2*2;a!K5@Z7SZP=lbcT$|G*>(W4q)1Df2K zguA3Ze+Tk#>Gm>ZEGv@8&UG~}hs%Vc67;4-b(0D?a|Ayln_hf+*gM|J34D8)%-8;_ zbJiGaoV@94ZwM}h#@hn1SL~v5JuEgmzr+pxrMdt>EVJ3-8dq!U)4;tSdPPqx5^r0BO{X} z(f!5(N*z&ABzT3|{rR5BovCS$h}pi{oh*WD?rbjA?*}lu%%QzV?ui{FO%|zxZmJ44 zyV){8;^uAd5o!1)Apj6LeScrM=Sax{`Xaf|XbO0-W9W@uTzOp3S6m zT-&qa4R7`ySRf6UXW6LhZgLagXMY=|a9s&Q#qKsP{Brv(h&-Pm1gU(@q(;JIj88X6tJqA;*seh!}N4y?&pZB&Rhn z&~vwF(liT<>tVnV-6;${DG`mVK6cE(aW(!36rI&=@Tx!Lxp?XRB&*WBMTrFj4u4-> zkX9h1lW@amo|jbPK3aB}R)>^&Kym)i-e_PNy1*Qy(!+ppP(p~y>TU5ty&eOVuMG*3LZ<;R8a;7;W!?~8W}5!2XdF^B@cNK-SH6~T0|A4({ zb>0m)ERI>xrTWue0AZ>Ptdsh}FMeAN#1ZgVs+fUiJ8xHw*KdvTbt^Z2jtR<3hoyIp zKG8Bb7AW_Sst|A%i}7Ae3yj%h>4;Z*IA~Va9IcU+nlZp5$6YBab3<(F*V}IlCBZ=QalO zG}#$xV}E3FHy^+6#R~by-ItcHjd5Yub*5TxrY^G}si{*^a)w%D`=QI+_g_wR!~ZPF zev+O)l9#iVn+M!%#5Xf;Q9BIXHmtT@QP!74_W?pw&Y+X+ci@XDw}4!v=`mjs2^bLh ziKQv>X`DU{+9m3y%7QT(J|mHYvp4L0iQI>83!Oo3{Gl|Vd{Djqj)|#YM>CQLbnr|B)h_fj1uQ5d}bm@$zsB<%X3$}r24q;JKQU(JEJ(`1!* z^moHF+8jtz9=3Z=CgxU~gB>~k__TWVdh6vpj50(+KeV$nLL*}~?x)Fe)C8LKeeuBD z0EDgjJ{;U09Sn!Ynyp!u`Mdl6iOC**FZW3V5e{?WC|RdVm6nRu`-$v5z{O`~8F3SW zo9UP8B_G&qeMtzz0+Cmm!oOg6_a|;C6AEW_bq#^2gb5hQ9B)VZlvuIIKJn{|!c(Um z+tXB2l3|9}CCa#7wJ-7HHvl)Pvb-*61riNobbAbI_=01?vqxx4vissq>YeCwQ~W2f z#g&~tjtSiZ#z95c%jk?xfSAw11;w{7Im}a#m5OIKLb0(AIr^+Qa5;1)YiB-q7pmJY zi%91eCS^7u`MJ7W#gvcC2A`WK`4#OXwq=la;gJp|3fP|ZfFGvg`#Ioqn+ivT&PZA- zgh5(+nkp)L+FVK+!)K=H&L>_Q4>k1OxMc`dme?|mzZP@fy2Jw_Z+j#o9++M@z1+9p zm79O~TH*akGA#Ewa*KU0GIK}yRzcJEn6Yze7~xEWzR6=CndP8p5JhoSz+P!V^%jN`%pP8-Za#b_f9M%HCLApM85fGVV!|!r{jG5n&Jj#n54@EJgXA z4>RCZX~I!IYVa^*L&`T??h^dw2p?!1oSYyBULM@PUAPCrdoR_2(19&sC&&acm-C-o zENI^sNTo`%?E*Su-QTo)kBw{J>a@tDw1)Z`zRTvN=py!Ges=6l7B<24RF z(FCn`rD0Z!ecuicIWPXJvc1sDfJO#vJ5p|myzb0I!l~49 zr{Uju;Cj(@is@~2ewPF&Fxn8D6(uPepQb4zaBUO$xY%f=IP8*b((FfLq3ItF%OVqt zcSh+rg6i^n)_w&@3YSElTtfww50gluhT?g?vg+Gw`M`MFRJ32(FO;f4=(pLsJO8LZ zr@h!n@^Bb1aSCC)@)T&%L#}9P*YoZ8Ap{})@u~%J=yzo>ZxWMYdgCbouZy-7<H}NC&(Tveuhj%NeYm1RjhuN`2wX) zojtEObO_2NSa4t({2OZs6XIGMpu^$3iFkezjYk~?Y;1v%@fVAh=ZOb*C>|>5M+HyE zP?}?GAJZ8d{G~TXZ1u`}VyTtq=4f>rf}a!kuD=J9fo zcL3-<_8xug6`beA@gWmte7;zH9W%bfl2AG8jH+(4(QUU8Umhx_&#!3zs_}a;M~)eQ zEb9Hav+!58u7!U9#jP5A2u05F%|6*Wd&$JQ3-wK>%VFl;GlrGOj~mq2-=N(793Dgt4Au zr=);c0yhrw_ge?z)V7E#$N+O0#Gb|-fTNf;q`^38i{0l8%l;&Cr96+!&lJB1KtJ=> z)Q{zehRz?5f4sg;Utg=%4ZNLy7_;4ql3 zs>+GL7UFh`CvK0|sr5+|KK(hbxSbE!y5Nh$qN&XdL;MV{3Ey|tF1fDA;p$`Q@?bte z1kDXreEbT~Ows!yoyY?gX6@bEgUn5fmIdGE%k`u}EW|o~q}YI4)&!r20ZpxM7Z!=N zKVu?~p_i+Q6uNT7l6A~eI^{7xE9QL4q8T9CPVg(1K7$>ZR6by z1|T8!(v+HD;?Xm&d5DPU=mD1Kx2B3qZ<|Yq6HeR4t_ug#PEVXxd5wf$@e-Cmb3GNO zLU#qS)7JK*>oH8*i))kfh}e=zBBi?LX`H=dwBQZsSIBQUIs1hyOG>`&Ll9ZYgTK52 z(CAIk0oKwNb65i<%{}W)SRQIfjQo@dce{eFUI(ZBUD$1AVOkHb8;xg~BB_iaNm=27 zbm>*&O&D_LF@KEk!-Tb12i~q;XX`^HfAJoAX}r5}RQXUCH}k@qIh2@z)HDL#u`ia+ zBlr*Z#n-}uNJ1kj7nkm_Z3nJ%golC1`qh&R+eZ4hUa}Tl{^y^wEq&lXW{2$OF<{$od?_!m zgXe#woPV5t6Urd@+Te#k%pSLuasi=9SI6{8_g17@fIC!Is4K@uf7#jSgG&P1MZ0@+ zk`+XxWPK)5E!0iP{RSewV6Q%SEztdPI8Mf#&rE%1H)~1_sF17Lyva}B6814gIQDTr zR>o!Q8#8p6S28em`R6PEoB8GF24NYJ5Nno`L)^ir2UO;A0nAkX)+pENo#Z#S%en=H zoLd>8S0jF+gn||2eQI*r%%TiHY6nX=yU(9ej_GU0B3rtv9rrabjDnt`Ohjon=|+!q z9cm_)S$c8sJ;-3R_1&y66By;l4!z>&rMFE#U=c)Lh9T?Q_dBke68wl{d}hFfxwzwH zI36@2r1!9$WZMlQGVm-RpI)B{e;hH0=HZPG@A6N#$ii{4exT@^gle*NnSUuPD7%(( z%eh(0W=|kFITe~mC;%04JFf479&dYJv;v08kj_%0hc%?$i<2s^P`2lG?2frxut;7X z?1ubKKm#asEn^zLnukG^bQ+t?zBd`N6}Q+DsZW)9>JZiU10ky@7nc&8!xPwA(;q^H zA@l{vvcv>ttK(@;;ATt-lIhQO8>z9$ng55gw+^c#dfEhmpa~Kjf(8ig?ry=|odChz zHGu%ZCAho0ySoKCpQAG+tX0*|mCagvzPo@k)#1=!}OZ!~Su|EWI#e-?rF5Yj=ICFYnt~ zT4m9h$O;Nu!E2EM0--#aF1NGcFzA%<`+-Y~ohtN!O+%u&*%|^5q=94{&#+?NXE9d9b({B~Cv>S3$61+V%CKHTzZ9zE7dzoSr*KP-g zDF?fYPuHlUy8U4Ypxs?70fUcm{C*>ZZ9zgzup3$PV-?q4D z8Q#1_awvQ@Ug6kAdRw<&jUSGKgzWmKfiWG|1*SNe^Lu<1t553Ka?A|w$rA6=H^Wgf zcG@rfQ00Ul6;l_Ax2UEp){Toob6B#I?x$TsMuY>ntgRR>?43;UrxW(Bfm6J4;I!Dr zT~(tlJNxNHwVgN9gUM$ST{--Sk7|En2bJKEfA)&bOeW=UAsC z&SMb*Uku1;v67mz&4_OM=cmwlw>cCX!``|R@r;?uBcczK<3?Qt zvF;od&)553kNX~6b>%DIk27E7R6Iwa`gSVP`T+Vixs33Q+vdwpUS~}wP|Z!Uv?FrE z=76irbJ?|rD|f=jL6Xv4#jyM1@YM9ynnGfC%La6ZI&wOXbG{WoviH0)FmBe9dlT+xbZ>otvL)aSfU7H@Ei0NKh zYDnvkY=WWbXg zmTIHR!s`|Bf98RIRYkQId-FumsIP16b^YYF^Nkjc9m|%IHM59E$_0{Y<6)6g!dJ$` zFu0}rgs!^Jki! z($a}Mp5!9(oJk9fZ2~k7b~4jDHIbm6L$eI^L)sx5*N6LQ14ha36SM5a?h{BUvghlD zO4Cnpa4tU2Cc3EG`L@}{4y;XmKHX_9%rPW`GBK8Qyw>iF?c(Z>mSrRbCRZg&jP7b`{$&?DSn)nm`Q6Ad82>J65Y``}4|q7Ru(0_iXz$N} zjb^$axf!QXD|YP%PfHe;y1Lf24L#o+mpMxu*m%`;BF~rbW$%a&Cl>N1#ZNpMn#rp+ z1B&*_1N+x=M>xM{3YK(N6WqHH3{RK*O`>@$xuad|$WHla>RP1LPg2xkfr}K-6a29U z^l6OhK)J%)+O3 z5(6{TwuXkEKlnUGpLc*FQfwNd1&RH7HVaiVy((|VlXzMtXIdDv8-wmp+Q*m7`lI-O z6m}AS9|Pe4AI2dOQ_|{v-J|0G`Xdo>BO}W(sb2?}BIh+CS;}F=K45(ur0Ky-Xp~sB ztvt(v8%*Os@l>Lj8sPO_@OOmhIm^6F+}8wk`$IRBk+#koaIXPp%0I7+-;+s&)NgCF z;;4I_5?K~8P+_l24h{EN>0f{NO0}t=AtR##ol>GfQpJzK@DM)NhFZ*!sy`R$Km1BF#)YR|C8*wPda}MV9A0B=sNRkILKz}XBsGoNs5Z4`Dk|4@g zO^jXSSc5j)%*BIcpXiz}YEl+KViQmbY&_Tbuu^NV;U^@M{``eVK3U`V>*0zG2kPc# zs`nn3pAaR+((PFFQCT`Ec{;Luy9luWb_>P(l$s-o79F~nL+&#cDRo-dX|il(fELV* zwT(}JvoR6uN$&c~;8}Cd_IJQW3Ea%tBi3Rbitc)}=_)vPyacoi9J#Z4rT1l`&1(Q!@is&h zn`bK*9$eg0jb^vcsHlW7%1X!P2Ts=}$>hroHk$=R`;#@U>mnZ566g9r9{lt5LCD=m zfiVp=NedYqLplFeBLQt!Hw0Cki3Mv|n}YB{JGceniUs+CneR(#)i%w+!T9`jpSIfV z?|84)@8MA8wEMz>vGBs|B7C1Ro^%7~p9hXPeNtrua-Na%1il%jd$8-$Hyvc+J?*f_ z+nvsG%ur3F*mfu$XYEO7wZ$>f1X4c$eTIjJFFe}rfiEGOva=m=frcA)oOVsC0Zpr- zqM{p4+%$nQs=i&bD@SqtV+|(nEHj!CV!m{sPc~6lpM!YLX4HZT%fm~(_a<77o6m7q z=(LGqnm>__Q0t>G3i!g}`7W>#jU%p5FQv1eyzAN(!)@Oy{~`rVIY76Rfn-`jJ$ zc3)+zUFO4rQ&CO=(V!N1M;uOk7vcP`gSC{`L6ldIUnA_990ZvOVvf%PLuRb@Kb{T~ z%A-Z^m8d~-cM^e;1;^)O?LQgR$rY@x6ZT`OK8*I#kbC#fMN$~uw>Em*=CA9Y_3ZK9 z;|gVXUUEIZG}GztIW(1|I-N;YG*HKzoIWziI;v-CrT97Tcd(}*^)R{s3-wUB{@i)G zC?Q9{!9PpJvi^wr)}osWuQ~N$_DTM=TX~eNkl?q54~dZ(`u}~1kF*Mb zT9iCp^c5FH6r3wNAb;yWlXUjK8ws9T63G1F9(e8Q26&=_{?ttOCP4V0UTm+4nVI7Q zrutms;p*jiRAQpr;HNJnx1Am7@-HtWAEwH;D%5X?r#NM9lfRTc-D1Z(aT8uMs#3j9 zFokh~nMpxT?l37vn#kiyn8xF}WV>xL67M+5+hJe%L_O83@y9xGU_ax9NT6ag3$ap+EM{_B zUWeBRzKEUU@+(y?nW%y7K|bN-PpgOZnr9#$3bud)4KSw!#NI=jII++n+uOPUdG-mv zAWQO9LM4VfZBy$VG3?6@kn9%QdiUKmd>OAp`03)$Jo^M$L=q;52x}*%ID`R zvFdiC!UDO#VYx6q8NolS=`5r6=`>HR8?u(cAecrpZsz+`Y0d(9;XVXX(eYN_%VCPp z!Aq@;;LkbhxM9r(#6@RPXS~|{>|;-fDD98Y&cDbTjlT1oc-U~|ut`j*+m`3vkyR&# zN5aot@k%R%p*v%|np<{W-|T)H@4d{5x}y-WXCr9d~v_#;fA-TfvI=GwgZkrO5CZ zjxW=el>9DaV}q1==m?lvPOihDd@5Ie&K_oKT_EqIgR+(rRFAfx4#lyR0PPJ@qIDrh?TNic5MZ2 zLap@B@7}c!El4{oY=)FP?@aqyDO!KWL%LSC>T~si+Dycte~KQv2Ea=!{=j! zP1!Xb8OE19kk?LCFsnJE#yq#gl(Bopp#Y4y1=dCquuJP3V`$(TIJ|{8` zz}IfQ80mJJyI>xlR|Yy% z1JRaxf&=$wnABCQdivF{iKUKGg&|}q_yUszn_AoHzpv{k!IIEkW$#!|m?qf#3 zKCE<6Dd^HQ#lWxl>`*)Osl&M-;NWl>&jp&e#>Y}8-#mTfMs1Ysneh&$!&!-i8Ba!$ z2%ZR^(``H4O2gHqcl?AdE$`%2FeWFNW_{c>Fh{B>spzw7YcW_bNb*dm%~zES4lU-e zl4=ow{VQb%Hyx~TwSu%mG;wVq)eR%;BC^zQ?@(4*xfXRHD}VX+epR~VzfFqDt>2}BU^iei9hzuS$bZ~gS zMVYqVg))^*>F-r9xby{9Y(${)As_w2^k&2LCl?7DWP1d5QAtPin~Kv|5;ZvgX^g|Jq*dQtE%WR{ z6mPy2d@Pf&0Ad0KJ$QBG1+y32731`$i*^VNdGTy}?&Vo`%J3(-DDJL1LDyG&!z)U4 zJu+zSTZUkO@gzWbCm*zZaO$4cbnRw_Si|+tEx1cIZyiY88jfM$nQv#SEoK zEHuKOtHRh9)>|OnjNEsCsKA+Yss3CD8yrhUlj>S|@jke_-+Sw=7#e!!&2mmCXlZGc zQD0U(rE;{>lH_>8X$?pSF0#jaJuz7Z8)^WsG>-ZAcP*wcQ$tnC8VjRxcT_5Ag|n`H z?7W04yMva|v|LBY*!U5p95tBCn2&!O*$r^wW1Oi5qR#p&KZ#u}&2YF2oZ!Re1u55h zV9~TGJS-u`Io5xdhEh)Aa;E?7zx2@>P@TuwTmG4`p3#UT^V@DD?b09NiAvQ`O^cZ1 zc)}twKdPfYnBtP9FXfTg!I_21Y(2zlf;cj;-f5wm08YJm zA-boyYy^1)d<3GcBzWl0Vk<4JJ$j~-lp}uc6Vhj`|7vwv#lf3>>9$Zs8aY!)`SZsrN7<1z$;L-T(S(;y z4)hm^bd=7oIvyzFP2L#$&d8*-?afYQdE`oI3X}mny!b4&*HpHl;NJ1T;V}99=<|1W zsME#h6#CwT1@$OFf7c+n#i4b@SNikHf6D;(2z=;Sf-RB)k&ak-S0=AS%W*Y3ecRJ} zkFSRd+?@jYqFhvD9uWGlq}OZYclH7Km+KXt)0?@s&+N{hVz1u$3As67%e5aFHM<{C zbUHQb1pE|2OhK~1%?uL$+)c`R@4eIlf+JZ&Ake1d$72T7It6I#&fq74oJp+?NZpDf*9`2L;M5%tE?idwoJrn1yJ3=d=SFA^Hp z&dG0z%NF<`T`OnDPcDnV&R4|;f3uLfeN4Oy51qoid_ zdB>BPJ-Cb3rMEFxx{{qApdowqI(KWg5gq4RXRKz1!k#YGZaukZ?>u4o7&!1cCe}Y% zqnd*aY(AS4y6BFAL;ZGj(1htO+bYhD_viXxGPH0(kp`(;rTY8ND|Uol9uEwE@MVOK z08=;tw_91Z6fCB8H2Bwq+cyI~Stka=${h(F_4zX`l9?S_4IP*vtWIvr=ZmNMAZvu<*RSHiUsr3KVG(#)%A zT()xYN#wbG16c~u3OQ}(FWKcocn;VS5@8! zqpPeFMIb&A%l*f955j8lTYaR#NVe^R!6a0@3tx(_Q`kTR{lX6X=Jz3YTba!t)t+4T zqbk*w%St== zBJ?_Nc3N+l?RO-izxi^b!O%#m)2RN#thQ`@^}74*VxAYU&kL4L zZ)mAIW>tiY;CJeM?>;XhG1`coQTaoZHmDhK?1Drhq%z(0a!OU#T=R->gn`<(>|$B* zh7%<1qLMJ#q6yUdMCaLUaF%}aML(~J>4aR{tYbV+-AmR+Hb-LE$E>^2y0yrMAxNkW z@-eIa;Bn~QpWNif$1g9$1M*xjP`jq^C*8-@YOKFXz^t4=?0$CMu*W7)ZQrP=f;i6b_eHg;y zT`wI|XAhg+4IY}U27$7-m5p87vcaND`Rl1Y`I8eEXKI&*Z|$)x+)Yk~SJ(7ZopvKBF>K77G45cm7}?MB8)T6qcjaSozD$VOX^QwQ9rh z<$G|`*{ksuP0oaE^Vd5W_)gs zA2FL@2%)BW%fo}_viza3o>yox=(^H^#eJmRgeY4#Du1Aos}hnuh#;s3TqYc3h{{U$ z^8s%V#oBa>ZvWzT9EMPs|NUti)m;kfg{${13F&r3pv_NK<|CFVouYGT$GmoiAdxhv z8`84?f<0+04GD@0=vGS8|B@sy4(!j%X6g^JQ{+dPKnw zNB&BvD_%*D^W!3g$pJn(?;-^7;|L9%!`y0js0JprMJTKp-cJeUeu`L7>uuz=zYPF1 zGUi>vZO07_f6})05bq~ovr*QjF1bu_CaeEyQdBCUtLB|hP_3e7OjXi8qO3WVS1N&A zxWc_Il)+}H7H2L(jj&MSxFkV(qy;oIHR!GH>V^ z-3bYMpo(DADX?iO%lsK>JpGD7{O*yWqT_-}_go-|z6wh$1&hhIDi)FgAb8#T8Xtjk zCjOtc_`eNCS-iVjTJYE%2@w7~MZTh0;8mYhfzDFu_v}c47dV7IQFMXdK})`6{IXuU!iMMtVs0?(l@OtX8*yYd1oCIQ z&E=*9}(t`;5?w^%Hdz7z(W4`$ZJ-;;S-BQhfzbXj{s>GTh(Jhyup4Z!d*k0}x2X(5u>o_nqgMJlCd!pg{ z(&df3@0^3$H?c*FzQFARxg{uMHa{;Kg!b7FuLj&6{@~+Ayg*B44~!`)VQqbp+|nOE zo?jX64TpsKCHBXj!VJ;T*w+62M29ECsl~9Z{O*aFUj9kbe&cai!QdrcK;1!S=k);N zhFz`xE39*IIZG!p_jR5=#hVx=ljobG!9!nQV{ZeV7JZI@f8ydcZ#^y_yzagD$8{ft z;!HFJ1cZi8ko#jn;`5XxmrT&hua@SY#a3Hkm1c5W683R!0Yw&czErq?OreuLMU@}zl2lzsc+EdYU z*&UilU`yIM?b2HDT)@j&LFKE5aaRtk#P$|F%+08}5bVN&*+=S|`&qLNjIIHAug?C) zqwwkpSKZ~gBa-2%f1aPAD7aGW8!>LbH*%evc6dO2=_>H2*+)ZvB?Q^_)M}!7$d4@7kDhrG*CYf*dhu2!$oJ*$9DpzDSDDec?Sx~bbO&;Ra;2>ouEf>%P_Q^$*i z9qs6Br+Ex7#S}dUM`eH99fH9p*r?V4b zHXjDyIWimJLwtHTTzR5Jg0}B(9Zx6-kUE}|1brYpzv9+Pc2=@=mL8fScZnTugy}7; zzC4E?pE zAsHXd+HMgbi1h8reWoKBa5}Ls9C~`)A$YwkJi)KC{Sie(8;WgeRU$^mPAqs4+d?^V zb(W@5k3_T41GuAvI+gEb`v$!^AKnSP&cTpRR(4jf4lr))8oq<_5q4ZB<-AAk9=G~U zXUNumDP2j6r&ffb!-T^QQNk!^(lgVrXsWV6=ewceEVg{(9NqwxqqXbYk zVbjs{bT#x7J;Mt&EQj zV0!JBCS)lwPWnaL+(Z2ps1q^GpKt6}oq^b{YkwK@Xq^6*E*B=~uljFpXR>kIy-kLq zRv9b91s%TzBZS88CO6;az(FDJN-Tk&0u1n+m90K@eMUHfh43{PRz+ouVR39LSscZy z1zJqmRjyM0&l61Eo;#(KEqmKW22PC;!9TEGIBdzBPNW0$y)e5H^uV;8O$9OfpnUeE zp`$Bv&mkW*#ouB1@&+!6L~ghPXI&{I;%~ng%RXX}e|7{YPp$c7Oer9&Dd6V4th$=L z>w@^q67c(%dD0U`ecE3&0r83v`-LIP`@XArBbbXcX@ z3hozXm>=(-VV)CKf}dh2?6k!K_MXE#uTG&m+GAs4@Zj;B_)rJ9e=v`-Wqf=zWEWSF z!0#ESpk0E|&{!1Md;&;AOVMS9FxPZ5>iD@v!nItRZ2{f((viBa9y|BC_E-TAJpac}*nt*3e2fGTQp zFsp>7W#md$EJ=_OmNANll1_BLD=}O?ejKz50M6mBdg0pK+K;%!lq9Vf8ye28r!B1p$vMO>%P^WOEP|3h%;4tdKR5- z*#8Ldj+7_fs9--Izg|f{7jlw0$uciwm7V^Pg|q@29mq&<>usK%pVQ9ZtfZmPH#Wzo z;v#Fo^CuiFnpBlaU~MhER&kn=KNEcG32sHU@BfPB>S>5|TrTYbzaL(1{5~H&M3FI+ zuFTSY!}OG!$`hi;=(IA5I!`V=8u+K~6@fMZ_)$sg98MpQkxGIW0T~nC9Z37Jsw{^Y zJU^u6TmMWZbujK8-SpI?=e$NLlB5MZ2PCpT6Y?r_hc0!Mg74fedK*jz>ujql-R6Y@ z{?Ox1)V-Syz7dUOKQMhssoQs4V#|A{#ttHg7mO6>7>gx^`CtxMA7{8o$wRp0E(@5pZKcSYlaTB2os!cko_q(xR{2wmNXIgOycs)6!8P> z`>%@DFQM+^FS`30SlTA=Xh%kjC$FuOu65~K@>5Hwys?Qa*FYR|bow>lRk85meTV7N zR-kLJYih}&*G_+q;_(Cqk=uNB7Ucd$XVB)_A^heZbJ4&$Ob)PX*5?Tfg){xQUArm8 z7eOPQTLTQj#L)hzA@J*~<&wi{TEXApQ(~XLV=EjpZI*ed$y2T@!Tb+GHazT*a0a0^ z67!-I`3(>im~yq=JT)&Y>40{v9|9!!Jt^{<*XdSzuZ!i*fgdTeBKWY&xu+@)IDg@z z3$LxMNAz?-TJwv{gz5T8hw%yF8yV*a2S>N0Tx6eKbW8rtst%d6Ojp}PNqr?wHzI?W z(_Z^SQz?P(d&N8x4a2Gc%Ql@?wMAuiW7JS}pYE%Ua%_mCNY- zS#Njg%|$iFsA{8Bi6)iYyfbonsmQtF(<3;qIIbB-qH^hl0Lbz!*81Q|&$&uA) zJ1c(M|JXcmW(R?>jfWDxZ@NsKJDMGScXX=^Wxm=mnZqn)8fVRh?%cOEm6^EmlBR!* zva8s{Bb?W}Y46!>Pk=8zY0)Pn!MhXve4lCKh~;}8)yi8|$wDk)oJ7_yXNC!hRJQH7@CYB7Pjrgu#W~YJ4v*UTDd>xU5JHm7&by5{W zL7Cft%wZDHq@$whph9Gme!;>aU#L9tCROgw*Q}18#HVJ+sX`gs1K42JsKWyQP;5 zSQyU{ILGxkW0u>b^e?xC4ENT}+}!-N+hW+Gu7R#=KrTHqvlF&rG6mQOl!GTcBjn1| z(K3~N1FGl_d?tkMe-1yNz4|OA8cj>KUiD-O*^d;?YHkLL|H>gGPe&mF*_}v5kp=kt z>7CW=3>H~?b#pbTcL$c2W7#b}^}+r57f{{GLV};gzKdNkk7V3xw8{10b<3VG8iqtZ zegze(dHHJ*L|di%67$L9z8k3TGpDoZ+m#{~U2!m$!B3Q3z6`j2{k*i@g}xsDdA@z9 z+o*(OHQQ;?t(+iHJeMN5R*2(zofbs+U?~MxYW2cH`-9NeCxt=+IaB%xaZ1V4Vfw_V zQ(Ol$xx#qF`e-I@Bp~DYR%kKxhf)yR9F10Z{A}f7+>3n&yJ|bq9x+uQFj^iMf!)~< zfKA_?cIdrkps7a~nr=IidhUR5GODNiNY6jl3bHNLZa1AQre&uE{n6%bLfQ6tD;i^4^^%voO#< z3>5j-`1yZgL4T-M_)ZoTt$-?nXGm5sU^Kx1vXwn-X_7oj{CSr2R=zSLuquRY4mDa9 z+h}@|!fEpuCctiGG@Z}p<*H6jKb#@t`v1&c_B8f4L?DB6l%cGU`L1vx0_lna@TuSj-Ps#%XWd03Cgh0>^^;sxL-8tWGZw?q3&DtT7A$*m@hrs+`J)2 zA6FD#H#eGGMr1`S8A2jOF${RjS}xGWLzJ8Q5q&z^7`CCmt=Z_EtC6FX>@4&#BMfq3~7+Jq|4Vdt$$TFo(g{SBv2QU zA{>IBVrl!?!5Pm_{jaPHmYfFXo<*TCGNseLeOnPyi~s3l?gLIJ|HW1zAqk3ta*7tG zCLzhrO8J}FYGQJ$r3X$1+-jw>djF4k32-_$TD-WnwstQ(nK8w1#?rkRkJ>ql=ioo7 z3ugeh?Cf=;|1}8+Fopm75Xm0wyUcA$UyX#CtkKWLM{i-NoxhV!&Gt0Bl~&FEV75)I zjArsmPDc71-N#0|OZYczX8M>CPq~d|ZVIqGa$RJ+%l#kt4L&@Qy$l;MpS{D{<2Xmy zd$Ui-!LRn|ZI4L(z=x2;jn34OsjF#Zk#O=>up;HYK>{H(rW6R}yKyfFh(4C2pCMXl zJql?M3VehhH?;3a3YF|i0%RN%a`ZM5v1(lgU@}mE6uk5%FAX%!Sea#u;r||N0tC59CaKp z>?`?sX5Fdv!;W9S<#Bod(X#2i6Ed~Vu%r6)pHmky*2XY~->PJs+OjzY}5Nu)%ZFvn1z||F~g5ZV!w}&TLe~4^t<(CT0F*ux* z-z-)#E|@;maM*{~{G;gVPMx{~scMt9tuF2YT3{cv#pC$+PI&>1mnAVl27?O1KcHsK z%zlo!y^$MJB5(O#gN@^-wm{NI_pakZs5LL3wHcb|=UE4s!<6E+K+P$U2zh-h_MVlgnk~qP!cseOUW2nx-9sp zsuhTdCEYj~WsUWwJ>FOC2|1Fv6oi`bbd=F{LwAle!yJFkA+qlN)M)=trU0-^TyE*@ zI*vZP*BOK(qgDcaBm5h`0oOQox45;%&p%vVCqrEfdNn-f!h{4dU$wM6$Gt2+JY*mh z7;Am9eq0-BjnUfS$mYB|{K~s%OijwNB}sULXrkUW(4wBJ&a|2OhLscP`Ee2`!#40c z3$zG}9sFf%9+(oeUCc5Ea-;`WjX#hUqj1RIC#6u}cf0&^+J>)@7X+dC0j#8P%xmEF zQ_^4IzhbG^kc$Ky8~qpdovO&kA|Kmd5vye8N~pmOzWo0$BKtqVKGB=^P7pV-ZcnM;#aYz7N`WVQ9Fq@O97gxbzuzU za%Dz$l0+qY;&#Urj+%{L^uAT$`|0Wl8$6?Qn6JW_7lV-}N!N71YC*= z53GJQ{NN_Z2fk?`sryFC%k4G)wdahH(O-y;=%1;S2akLMOYmEfuOyK1-TxA;1llCL zO+xx=?mJteB!a%h#LuO(tSSNn~6B4yJu~btuIiXUIm(`LEMYTzYyx}=3@tqzuF>z+KbfF6F;i1Wrp2|!nvo(q;5dd*qedUQ$-~K#@ z7$cHUSTD7NSjS2tp3hSejZPp zA}-PE-*h1i79mEa*m)XmDXLK{^l>h3c9%bC>C)1VFbPyLX9H9v9c8)Q>6IOJsw%K| zh>bt3E!y=p+5vyF^K~awVIc|pQoLqv%6VprlfPaSRoo@a&i$D697jBe@PAy71sqSq z%A0SkPKpJ1gZo4Lx~yS=;7HolUj@zB-qNbYrYrL-`*bnfl;V zgpG}I13l3|POcLa%cKC!f%Pbe{!0=&cceH=1Cn#N4n(%3~ zw<_wFTg=|pE;yK5V4N*hrP)7DMybmPLk5p;{Ka(uLDm7y_jFCqr@ezb>EYIg%IEb6 z(HFUvOX|)b;%V{KV&bX{72LOQ3k*x*ucS0B5N@%e;T0!neo|d+k=K|2e(LvNFp)uV zOOa7qM4_QS7$WTxoq4BLGy)Wj{H0xSAPFv%AR+ewETha*(<8NQ;1>~@ED;v-pW(jt zv2Wj6lMo}$1VbI1oPCA5X>5_q@^-@(C4z^N9r)_NLx?BQ7mjKbiK-ADuqY4^gnoXn z@q6Ni1C$%74KL5r@(+b`P>xw;i!L z2c7JyQiF#m^!*>A+@>h2k)}c+g&eh5mqm0FiBy$?QTn&#U5K0$c)HG4mifF^afCr5n4Dqd$^&iZV)7fovGNu_+gUiph1(8q!KKWHP~ zAoSZ0xl`8kK^-8#cG7ReHk6d6yK%WWXOZ>30;~8USm;XEG1|t1Qpu9PQRs8-tI!*z zI9te>_=(@@KXF&vnyb;8MnJ)&Y5_(|r)r z(Y1pA>a`k zvD1dwBFEtCoTf*=P7P+7A9XmGDX+~90*tEHM(FqX%rD_RJUqDSl^R4s0;V)E#8*)v z6;*K$kED8ROtwOqWs%tdKL1yWyaKZj9@?o>8XRY_Wt9Ol+CxI2qQ4C|E=@iPzO`w^~45bNSgo4h`fM`%0CmHI8KJ+;C2AFuQu1^nNFc@~K?RTZSG>(6w&t-l5~Os}K-;Qk}@e}-Fb zazqev<(7_?4j003+6K&*=r4YG?R>##27OaHN-M^0L0hw^gnJ92x>ioGyhHSH(8%Yt z!+TCr@JE6ct)gd-9AYIF+f8zkSuHgeO)r(I^?&mrXF?odsSNV_bk0yQWFBumuTkxrAjb}Y$Us}3oM24vKNl%RSr4~L z^RuF11|j$b{L%*q2r-&=xHhN{R8=bqXP3@WG24Y|UiaxfWs}P9n%V!zo6P$UcR3-x z_Uz*vA+ZmX@JW)Pp20latI}L%yUt2)2Gn6nF;Q2V?%P{~cCpJIC{*Q88hyM}pVim+ zEa6`V>hO#h&yjHS}>HSOJkovbMZZ0?`v^l^3rYaUy5yL|Eh^GUIAqlcselJ%^qG}A!S#VD7NL$ zv6G_}zO5o1^KD)r1&U1CnayHS3IQ_aPx0)w$MI%a8mp;NN9tdC5s3u;vZr;2gDxuh z;>VvHw=cFlwH#lRV>E@3w2qV00Yzp{b`Lwd@h8Sw*AFepx4T4-`?)*%4Rz%m`$DVs zhIE?OQucMdYVD&b59dgN#f5 z`v}O?Vy|1j_OwtpCi3Soo8r@&|7?Y>Y*O05&A`n(+fzf@QbNpUkr-QzRBnK~WE)Sw zP`q+0vKJ^>eC%2IX&PxrOSww@ZmI|HB7y4jtDg-oCJgcP#ip6uyIN*BmLSGfmaWCS zQT)zg^!bLmca~3D;?-{WWv7}%H+GwofOy@!5^s02!QuKLs-#du zGds#G)KSh|Xmfi!oLnbwS#MIf*N0GSJ%o_fzG>fFDJxfT3uC>dvYixkb2hO4eWI_S zMCkF;n5LH?6F_dpJI!*0q)1bwhP}c^Q{dTNkYh!u;=cT4g}cnTe9k!XC8tU`|DdX6 zzi1az{dnoPe6lb6=eLm(E&xss;|_0?8WF=n*{Auisi-wN41<_IhI7mv?vFfxOKbOm z`#JNhdhDGUBBHc1XJElm0@BctQbYV`%rgo7Ode{}mp*YyU_u6);h0U{s*=8tJI(lh zeS-H@R0NG+Rhd?a`?dvhQC0HZiJ%}rt)P9togws5?uy`*q#Ih3dtcC)X)@PNfw) z+SS}GAQ5=G`q}t4Y~cFe5hSb@(u8U|FJZW7`i8gMv!80**8E@Wy=Pd{&ATp&BJzqN z77zgeMUW1P6ai@>z4s0xO$bG#hb{^N0@9`T76_q*5}JxilTL_)UIPi8gwV4?eBZUs z+2_C4S$kjWI{SRs`9cyh&-`ZQnWx-y&rFc{PS#JFv;qku>53AtOmIhVqnC{hZt5F*LLj2MS9xg8IP}K`L zUQf85c+dY7lQdCmitR36LJ&BprcGe3Z=)fS3 zU*WU-aHrme-})Z*Sr2lqi8uz=rq{LWH1PRbXsKsblwH~e!YuMk?_3qPOMoZ2)yamI z*f1!Jx~25-iDzjtgx@=AkH+pa9@JO`#j$Kmm_q|~>)!0BrF)afXkhNoCdSrMpFs?yth$NkPyK~?xjvp&^hqT{Rx4xyaDxurKlg0P@vfGo)gYfYqkmj)nO!Ab<0{Xg<<>*zYA-$E%H4Dh`m%+i3>$YO{j zysWxiS2P$B<0xU^-*$bYL~?XD(6!@}v$Y0Y@c<5Y%&K3acb~#cs1EhKKB-yrv-OTq zpX};l@sep1?=$*~uEPoKnNHVC%+4v+h;BX+IC#h1)%pF}3Qw$?;8}z7X_*4E1a3w0 zHeLk=-Fi)JA-Bj#hYmfVhDbbALh;untL>c?`oNt1^>&HG-VR z{F4+fv_sj@D!F$2+)7bV>xvZ zuYvNLqL*um3pAuT)Ic0lxS><^6W=l^cJrw$j{sWfpDd5IYgtj4byNZ? zW^^<%#MB_ipR+uy$(?vWfv@|iHd3xxyg_Lk+ReYz$UqqK!%o36(pD8FO1121w8nyR zQy2H(6!0jYKTpm1UfmvIFFMmOk&jTWVc>5*9T80%Qiz`prO4Sh@b=iD~n`yh~l( zAbDT;_V33@;u~CN-JrnjeHCW*RSW=W3qE4tM>^k4^2|oiFvr?wwdUHc_=Vuyzb4PX53(rjUmk-~Yiac&qw5Ry zn7y}@IsNxiU@*T)=PC336QOzUECC9TT@Fe(FRnMdTbIjY(tuP>cWnnSJu-C`Y@U6d zHLV_y!{cy}FS@GT#2}SDDD?vI>s)1pi&#S7wx7b7juX=uq@0*r--wLXB4!q6rF?VQ z$Pf9YfCjeAMKxX+LlGBbT}>~Us_jvVMzRG-FpX{H8tDz$Ke$fZzruA?-V{6a=9d8h z(=$C!Q%RTw85hTS7&`;Esn{lYAK@goX26d1tWq-7$Pi1G%+5Ne<=42j$^r@R<2abi zad8SeFSRsU89ol zSOFvBZ{{{&lCKf5mJnc2EBDyq;EOUf>}lP{V6{)+Rx9c9&S}%iLSgxu_n;-{iLmo5 zb=1z|rL_d8ky=SBi2L%XzAj7!e%}nVcTUCnAYFVyTEQZY&^ww*Big+QatAJNsL|Rc z2snMp$N2=8GPF;D5tX2BHwDszJG_?3m5c@S4z%+=TGW(!T$lCCOP9eKXQu}f)eL+d zH?XVMpwx-peib1)5G?OT!&-@ERo8)pUv_^ktIpEp{)IRdOx5Xt&| z7-p|E@fvo?XODXxxbh_cEM;#vqS@2d1uk`;=z7b0pIP0~H_lV0TG+06LE+ z2MQ1_u8(dfi0%voy08nv{dW^a*W#NPNBPZfsel>H>h=)#4$-I+*n06)`reS{`^n>a zOW;Gf&<853w*nW$%*=YQ1&fck?;Z+S&}AJ738=2h1eKr&zw_#*qesa7-S+W1=?l)HGGij28{sPGIkWI}Lvelco zcT{(+ z#?%DAIZd#rxqRRT!i8Q;Uv}^MN#bJp)durCn1~>JDhsd@Ies6jI~ax@=F?U}2n?p{ zcN5n7Qa@X@K7qrX`!aCv(LRpF*8u4^5^J?$9*I#j=(S6-;bz9=v4u_T!1_3Skt0_Z zm9a890XX>+&6r05VhDmc^t@k6Vn==v#S^T(iw9??! z28Fvr4jZqw?Z6|(RB6Qp2buED5ipgDS9EruUC0d{`y0|{slh2Ot# zCtF<9p8Ff+RAJUqk;X_@20h?!rwxj-AP5|o^TK7RJ3zfD*@bjDZAI{uF~(_$&-Z4| z9-FL`?L6$U#nBiccRX5b^+o!$N zC+ei4zWj=x|AveH4SD$&z|H^oCju({`YtBI25x;vW@)IJvn2{LvZCk2yPoeWV3q@O z587Gv_nOv70%WJ~YjpyEu6nmwo0IwKLg zuQ{r!Vr4*EHMsO$Za%BW4ihC^m?2}OHiiRl5BW&cLB~UEr_H)vIdJmvOaeZ zDLKJLFT1jU_OB%0qYH(;p?ixxT`~_pu;idhT;pQl3qx03vI*hSEYjw1na}x_)XYff zT^;Jr?#eDe>akvWcrw2ow7C7D8Lv-qkIwSBseDF$x51NvNzmYnT21GJXKtFl3In6j zBmRMGc8@ikaPJ~;zn+!Z%#`3>MLS7U<0$*G>*qXgZmj7o;;Kq5@sXy!4Np6zQs|62{eILBu@p45S}e3q;f)bDo{IM(Kcv=j4O!Lh)0aBnBx5;P2v zZ6e5QhTO{%#53A=-=r1=%JhxCOPg_WnLn4MpL>2SVd$yec)0CMyIeDKs8gWMN#^YO zTgjs{nPl22Jul*)lw27f_3nC39W^i$=bQiqHU`k}#p~Id@V;vGJ*tcoUMw5$fqCa< z1Nr3Uq&w@5lRHKDtt=j>XcjaYPL7i>(2yFB|AhTcGPp&Tqwq5ujs*Wi58-cFFe0AE z*qHIukFCzg?~kf!*YO(wQeBKpub-RD`a7snLrsRcR=P)Stlz4PkYTFKlg4A}qnUW_ z9(_1f*)fPPtJI!KPepI^i(tR6T?cZn$ay*5DlGueztR9v^-l=g55mps8`X-qjnRW< ziqf7{II61m8%`I#)_X09Yrd~b$dY=lm3~z2aHMQdyE&F(n`N3e_3xESf1jc)eHZZn z15Ljv>~(4e1Xfz;hI})_>zgBZ^$~^^^fKEiiu>)wc!#*rgqym`1rLPOgv|O6)*Pxt zHo){bPx9R#KX}z;w3mrLFAraof!aP+AqH*uj8YX5PN!kcL@d^UA>kL*m1v!2!@MT? z@Bh>-S-imAO>xnEb0*`=dYXWj=;dP;S%pg{xW*%bD;prx+_)!4|B;Bm4K2R9f-xfk$H+bS6q=$F=?qzuxG3Gms=?*0PZU ziRa`H<9wdZ*dkwrEZHEXxI2~q9_PdE4FvzJxwlz*^Q-_7vz5qY%4Q9q?%0ZwAR+5d zGV)n#m+8JDwi5n)!DabKYK6{3arO2h38j{$n}f?wE;)VhUpCb6dr{Q}IIb&>Tghd7 zs!W39XDM0&;-4)1lIS}HP2JU{?g+Delsv2VBmsK3ASOIFGE>J1FCH`$Lx*x6mg!05 z)CiF9|B%PN_&c8R4r7in+IXFta49#+25H6mQ;V&N@V-gN^3STXKG{W^K;E;ao!~}p54>Y*B5fcwt_+S|HR7DR($hY7u z3OS?)0SKWmR02|*^YtCX&-a_JdK`M|4yr5?AWqM}qodk7glC6MYm#bwQ_CA22<0?K z_>sxakJ2AMl{<~SZz{cNr(xD6uhJ=GtGolw$Q1~rET37Lt5MPYY1nt-8+{B3ubRi0yaTfAW3mbi zBFo-*|HbXKFS5v%i)s~pL*DXOqnUur4YY0*KHh#pA$}Eb^34Z3bh&^|=tKkev5Mzt z))cH(&sA(wao)D;Av<6ydbIeblIbDJ)z0#|V7u-Z$$f@M65Xd_e}HTIp$mNOo8iuY z|IzO^eWrC<8i(yA5v~>YqYG1X?7@Tu@xa}Qps5=zN{?lxiqgeC!n=;Cty=8m<~R*Jflhr|G!m0re}ieut(GqbY7N#vJ4aiCN^7+43|^uD`BklB zw^N*`AOcyFIr)4YpWL_sXE`j{7iNV4IUl{TuNp`fF;Cz5R#?!>uP$FzxK*dxHG>@v z%i&8g9^aZMtMsF_JMKE3ilrc7D6I-_>%0aWz zG_iB)LgiH6>{?O4NiPM7mu`haHQpUFcP7;#l<2)ybGK%rN6Yo9vJVy&T3LJ>Bswp0 z`7OKzt0f-~R8zL@i?8t99^6G(_-4c0ZhEzgD9*IuVLugcMyXx2C&$yBs#L9Vm*4@u{+dR;%W{)#k^VA&U;2;ypG&3FL2iof@!uBUoM z&HA3+L>B5mF!opR$gbmA*e%t&g!@JE2zU@Bf(sR;ll)wPTKDDrevbfo>Fd8KGKIwG zKgWyJNG1^`^SyO+4S6*3609SoFnTqCKQPBo5U2$JZ!^*cyLNP1Ty>{xq5bzZ6d#kr z9?Ktz2_hyrCOn@IXGe)dAF_OAeBCOT1e^5uFaMBj(^~v#U+oy)&fn^Z~KeS zXNOq^`CcXE!MPwVLT5!XZ-*M1G);4Te_(TsY#EAdJ`Io@iorW08u!%ldmCwHB1@rP z4=cAv(xvmmoT@aX&cuDW?0dlG{xi)h{luVIu8=XDvil{^YRSW-G;FJ7;IRR?d5yo7 z@VX+Px5|=KeboGv#~^ifspqaP;qH8?2J+#Pc(r0-3DB82}Uqz_J2Nvy-Jcdqt_TtLMd{uOo?>}Yx4cW1sJUjm6w#h0}5rmqx&rG z93#9q*0~emIQCoIH2E`+L&UXY@ASrd^yj2Dq47+!S&qmP#KrZ?n4-D3PVO#uV+?&; zL7e4n@TXNbki$EJ1d}3$sr=33rZv3gO|kVOwsrD zNQIMiwS$Q?bvRA%X%Rf*nn zDOQp(*RT5dwC&O1<}ZaqNV&_O@wkbPXiQDF@DDbv5CN5ZK+4q=ZH}N7HXXqtmSOYd zz4~MFlO&_D_3SKSWL_oPKUhO<)a!p`5YK8O z(pb{MHV(cbh?el|b4Ua4zqEm$iG(xKOIw}hKZu?03g(1uF#mzsUyIUh-NEj3c>D?P z4HOP!?7`*?mb#oIK|gqlDO=fkxtPNO{){|Z3-Cj;Ikh`659g-2GUgMLGlsp+tRLou zCN`mzRBgFNQw->Vp|Z zDh!ZP1G3zT|3}O$CLJCRlVfc;DT;-*8ffjga$!PeaNEr}D@{635&vTi5PwLaSMx---h$LWYZwyMJv(rOc)d9BN6(io{n4HDoRm1k)4!gv{kPFo5qGtB2(dr3>mucJZK2keyR%F(+ z!dz$(-!)QqiZu~cp%aR0kbz1TMucB=E!QsMc`R&hcwZxkv@5Cl4I` zz_G`pfEK&KgdYEr$IN;a{)Of$cU~u1jFX;H{Q^c9uyzNms-9dc|3Ot!WAamUNH!kX zMZMK8ynYG@+k1~2-s)VSU3^l3yF4?bB>2&=3o8bH%!a!0*=0FXd_BRo5YzS5v&{Sc zd*l_!?LR=+mg@#3c5(}C)DD&~|E+rJ=f-MQX%f9xrb57D2V=Z!<41*hDsaJ1OWcAc zAZBmzua--i^>@Ro8U3s>&ub(M^B?xR#nz+tLQ+Kuu>TkP_)Kd5DznLH?DX-J72+eh zINb2>d2pPyOZCcxQp~YoYH%(Rk3Ni~XrSOM;_@O$oMf#+3{%DWFQHhz=<+|K4lBe( zXm*typOZ2>N^L9S{8_2}OCGX1yPh_Tdxrcn}HBYWvzhQzemrKyvv&iSuLQjv8| z5TS+qrNoJF^Ew0F@Hs`IMG@U;+nIixRPUFf_>rKd!4f1dFNsKudHuJS|1S=F7e1wE znw7T3OJQXfggIO%vi;wggyPr?1V4ptoM~jp&eEyJ;4ZbAU}K+^dtm3vk69m)h4NLP zb*M!``?vXK(74w+-G-Tl3uQ}T=kWtT|D?MqaJZ0BXGq+mSwo;-kL^9cU58|FU2f|x zvw)FHNkY;?wPDiSgXYH{;)Ltvp&q3q-egEEFO2f!qtt!R&Ljm`2i#ljgXxU4KG;n# zpSk@a4ERM229(|K=k68BA1JShxVUVK)xDJ?rrY{k!UDcNp&l31xZ){!3dW5(MC;Rr z|3OWAGLGaY)%q%1W%$P!;d;;4?~V`S9dY^ix-mwG+&0{f2Xl|qQZf=G(SK!qrp4SN z)p=yjlbBeeg#nPDf=#^=(_#-vnm?;8+6s*_l&y8@L?n&Co9-7Zp4q__CQ6#VTVy|e z$>EP@0gI<3v-4$wj$x4bs?r%oakZp__fOb3>-;O(g7kYbu3}0lcJ?|p3|F#Er*2EW z=H2!JrK?8Xq?q;Tr&Qt!XY4;%1(okr;KAG<>SPEg|CqJbu=0vv*&lFYo^B+}GTtsJ zR!*9fdFx-dl=^N_8Q$pN3QwZEh-v<*1vvb074%gCN2*zHb}9Nh0}+APGg`kG?{u4m zJ-WM3YfgC#NwMiT_ALkM>IS+3&=A=e)S!_@>VW~qJq4sVj*Mz+LwqHiXu%otHVPhq z{HL#O1YAi}kZ{y>Mkv7_^Ju3Lj`PR$99GJH{S_#DCFYqSbUAxzQ zSQRYO7sF5>-f?qr^9gZ2S&r2$NhW#n$%nJgz%HSOeX0&ESOhZIxwXNESM#RU8a&&L~fdMkkQ1k`l;Y1FSd01YaNUbQoMtH_k_K%;6rpAJC$_!PMZ9ATIf{G6?>#SC z&lUYo0v24Jmogb7>QPj0}|Nq4N>PhXF1;>su z4^(IuvzzS_MwnVNdaQ^PY2r)vfERcbmQi!nb=b8zujA$>9C!1w>t#(<9rUT!q2!1a zk*Jh;vtKHt$n+iKMHLK9)+fCZa#--OJP^}o1`S53@&gHh)OVa-4VSmluA7xDk(_)# zIR{#9z{CUm0@7gp#I~z=jJ(rPmUxEl7`vMG=YXpM$wWx{*8%b=e6IKL90m5Y8hcsvlqN?gXE0-0*wZw(PoEd=GrAYIcvL^{(7RJfDs+#g!UR zc`-#WsZmM~D$&W6t1Z|xGX8w7SP<$6x3v4I(979C-Sn!w@JdGv(X_ZM2GaQiC9+2d z)n-j^tNWgb3NhmKlO34y1|h^t!5gsZ*lcrbi{c^H5FvVf$LEgTuqCU-Xi?a=FhO02 z=2zfyB0UZ`JB7Z(l)D%c4HYnG+HLLyT!m3x#2$OmXP0DeH{1%SF%5bYLu=VrQFp?G zn~JdF72oHvU|mp?{ez`R;&Z~X-Hd@>T~4Dgl8S;C+shfOG!U0BuO%GQ-I_7zs{}w^ z&p0_r&T>dCiQBSFym8OI!EGKLO>9mU!L8%d{rd_^KF6hQsCGz_ zvq~Fuv~YbLxZE}|DzRn$2ZNejBhq_iYzF?CdSn;B@ftdHBY&)c#sD)B{i+iZTFJ7N zP|5P?$g<~6%*rlkEiY7@p*86TDn?(hUen0yNOYutRX`$8{WV}p5}3cizxev!kq95!#2O4>(8t+x!YtPeAo0L<*eE8zKcI)UHuQFrVL&G1l_sl*R_;V8oWxDC9z`d#W4&`ZsUZp<_4cp4Vy9EO>o6};%LDF z4jAN>ZaV|UZ=y>vij{^icJVmJA4!~Ecz#5wO=m3n_cr4czF*W%RhP&c`FxPH(UwP~ zrmU3${H5~B+V3Q_*5&l1RkTa96a|S{mBlhkqSGZWI(}kS0FCj^5&2ka73v||A=-=q<$^-BvL{l|GiV za=EE*cJZU2Y6U2=zAyg#U~K(j?z4X({tu#zDE8@F3M!*>8-vOc>8ly02D1 z#E#k106cj%Np)^9-q{bM#2HCOt!FL>RaaDxv=~uda0n^^D>*rhTMbj(uhc<(q`@8I z&AixOMH&_B(>E@Ofg)$52gw5~L#E5m9N}0EDIH@JX`*_|fek;gl-yLu8 zT#Ur-Afv0e5IAM{-ls(_X2j^#bZQQ!q2hwY#8Y*CNV{x*at@~VpdI~Oo!;V>WsM24 ze#=+EbR7xhSF?`SiHhnuiqe1nVKYtch%W%mQ5w&0fC<)k3iZv(>^5z9szc>gwBq!j zo3T(rZg_~<-?OGPA~VBGu&lA=2Yt*2CAL#2Aw=VIy!U0q5JiUwc6|W47H4p{o9ZUwwjs36`$K4>c-9;3 zqf~e`K*+qnJ_tg`n+o`v(ILF7RgT2B8pH&!n_$qx<@cA2r7Q*ej4P}RkIU%VAtQOm zDwDS=_Z6j8O@uU9`AzcZdfUfR+nHa`k+u=aHgTEwG;pXJO$OynGd3xtdPf_HBo?CS zOU)u=PmVn@ftHpvbi*7f#j+evg-syv%-piwnc)$S^@Q`Z)3B0xm}MS}!{WOIk!I=h z_UBQ1UW1IEWt^5zkJ#~fFNQ}7H0DexW;q%|K5hB&+&02X3#r1+#q5dgP73uy@as)s zXpOJvt=|v50$tzQ#{`&b>UoK*Zzo7t=qimRcw!r{}Z~0fZqO(_tN}NF*-=uSjfNFk@J6~5QC%tu3z>EdmB%sD`C@w{Ud+-2mZsN zYKg@ft8KDkJr8ofD2QI?{)>%EfDfoJ$Hs-HDz)gCOz`~h zWd&|pE;D-AGqnj}4J2P}Ks!FpL@2SaTOG;Hxr#Zs%bq6gvFsr&zSSi;vw6Tm7DDrn zmYn;0P^ACiaZ>(G)ab zgY{$~Wz67j`SYQr6eN!oXqb9`g}<-)u$eR?$@U!0!~V?8|HQz_5?8%xF7}Hn6kPxD z@{u@z5_ac`_0QWBVfQHH^FjsAYWQ+Zl#3kZp&9==quynr7z!{1Ao{av-g#o<6NZIi zSY_ny1Duxp>~nk19`WIG>YfmmXa%SX#;|p_-z@!+M{Ex-&!XS2vCe4+u)#^ai|Of4 zK#w4owjEsg$II$afwTRDU#di`XpR(fBOvm)raGnpnW|CaP#E=rPWY z(L^eWWV&*-uJl+tpfbHhMao+8U*@WPmClD18%p|!s{DF=7HbVEU9^+cdTA)9) zAki3dwc=lsdT=Xrni6XqW_bXa@I1<=rrP}RmRN#}>^Hp~>tIJYu5&ZHB-+&sq{!JV z>Ihd;!yf*$7gu@Xa=6lJghQuiy<~ex`hAn5)sHC=r|r15vI!&4=~C=x)|FH%ejPg( zu%c12XDim<7R=Iwa{!*KV7z)8Z!FPj;wf`_Z% zc+P+dq`|w{t|=y+23cr3w#bqpHbKt^lYOvj@Mae(QRGx&NU%v0y&|bFbByGR(XRb= zUy-K}*xl6X`I*%IeKS-@n`@|mq?;U)T+eHj8L?9Jme1#jbJEXIcu}RCPmCH4RU$q7 zPH+kY38>LMr=r&h1frm3*h!iCl|ro_4ZW-)Y7xIQS<`aL)m*Ix9SMK>RUwNUh%50t z5W5P<(cPc}=*N#v6pi0En}PrQpm$<1GnTs16Hw`?mq=W2oQfqW!|i7hl-RfNjAHJ( zD8L|ocG^+%USH!DW1yYtaxx}#KE7upWqR}Wnf#D@`Mr|GOW(#9z2@)HT_~zA9{Y%r zPfiq(*e?`qVb%HZ=%)VQ_RGDMY(vuHe3?ZYL5EtO#c-u~w?T~|6;ox#rMi0Pol)#O zIS^Zf!2RSET!BDb^(s8;rH{H*MUDporj9$?SC;I0am&L$fau6}fSTCcq=*3Ag+AD1 zX8%X8)9mpWGD~A<^R7Lfi%|SZ*OaSC z`G{+iQb`fZmcGP-^U3U9?Lcs zWtAi4I%NLTf1(XC*4uUGrgop2^rGEYSTg}<&whx^y|R*xDr+o!K2h(&yrNqbxBqJQ zL2^p6N`=`O29+Ckr9Xt_uUC_ek-(!qyTh%pn2)ac1I7U8YFNx+X958}>PXpz{4Gn` z?eO9Q)m}>^;X>Fw1H>p-`(3Nv8D9gzwyjCo)OYuKcApZDvTz#9YyuJYLkZttSV=g0 zgey8>Lb*&f>^Ax)BD(j>l~n9=dB1&HW(U~3BUy_;C+&;aLSw#S&^cgRe=!d(59?CANm$4*X4G@c|tGoV;cmZsr7bmm>;PRkeB zQSvK(n~(sl_X)`XfW;xjylddU56H*34~+K#;E#{q^m`qMJLW2BOI|FjM5_hmR6t*2 zpVI}e@&xWjVBj#vm?$2nB3Ri}o7PD^n2I7S{fVEGlT6U64g{!eT-dEwyxQtBJ-m15 z!UHK?+YUUQ44Ynow4K=mS#SAS$@(rA^St?jflq}3U(e13kU}Q1(9+TI-k^5CNe6AnxnYe+EbqG!29p-^ z>AQQ^UVfB_dBm&IH{qFy>2v zPwc}eTZgp%?t3G5t$_M5l{Z<6l8H^=59?Lb^)Q(Z~u(TRlJHvS)A`f zcPZ&*MBM%d2c%8Cikl!%J37TxPhYd2L*C#J1H46J6PBw~sleKbkFxY@SMe5udacR1 zo(r7ye_@_z$Y)t$gb*o-trqOhYK8xKT9+t)wusOBlT#m2la+RdO9}||_+U5e)QH{* zh;O)pr%!#7iRH`oX7KXfHjff<7 z^`0E?njkuS4jxY-)g>+NT1tUt0qkU$;>p5tG%hhUT{n(WP04XMv%nLLLao|BDWn8` zrdr%(Pw;_kK8130&b9OQHT(_o0@Ze$|Nu2JYFconIyaTpPG3*Kr z7-$4R&qwAkVQf8#N~sTC(%-D?z^Y%FJ5`w)EM|4t(iBX?Xu8C{WHc~($?7nrU#dm5 z`wi`MMd~T$D!=cWG-+oM9&ko}6n_HGa4c{3uq3O$53?$b4YU8Kf@F-#N|Pl5dt$e4@WppAs2+~YAq5zl1 z_0YzQb++%xpY-a`QF-cVl`gQp0S^7qK0iAAB4TwRv3WYcctE&+kb;vrSKd3b5Y$U( zXR#31n#s%-R1vCFz*t&UY9u?LYuwf$3C69{Czu>h0>9BpSZ{FI<{feKP`>)@QBX2I z$cnJ%8>$H-1~CN!AiC%vA~~>8j-z3!bVRZK)d`cEqaNG@wwAEU6FG(fEBK&8&4NJJ z+Yif@W2lr}Q;C-j#kc}{R;oM%;0KA(Pyqa;lpJ@N3HU5=q&*-(G77RIOjDA^U14ay0uH$81+{qIZi&1 zv8k1JfqcFYs0TK3!doj5p^%-bOX0R z9s2g{;=HKBW1U*htx0nIyCqGO1H)UJC$&Kyn~BKRD<+Hp;B;}NU)hFPok^lVqda}4 z_PqgdjTyn*CCIVy0N;UfjxB#`6WqD)xOt$8W40SVZ0dq z7=@`Bh|%jZ@vHp_+J2A1Ml7;f{Vcpi5PI-wgcdL0KbjZO{o{KkuoAI>%vYoXpfj7& zrSpgvxrqGuysy9WbL~*);h`*Z`tNqz!g}9(dDo$gdSZ%xQC5Q4=CgcWIXiVMi?AsF zda0^8`}O@-$(F!bY49Ld;9eCYg-=W7)Id}7u1X}(q6VRBxh^M>FZzf&u;(^ZAjncC z*efPot6XQb(hi27^8y|Jih=F)>LodV6B<-&snxvA2CPz@hf1`{4PVn7k(T+zHQaqF z7b0wBd^O`*%!&&JCam7~^PqV=7aeP%vtvrw;ju6;^Y`)9>(<@K&oBGAi*GRdKF{(* zFqtCW6#t~fwrKRF_|yr^>j!rta7~gz<-A9^I@V^Rj;xl}F^Z|GZf@gcANUjTL(QhF zykj3tM0WD8o7gNm*PWz0a+)|S`RN{~m^3p{Zsf~HY9p(ax)Ds!2oV6dLW0$!6L|S& zPX1#D{vivQ!*IjkwF_Ck!)K=p z_S;c$d5uGE5&DM~m@RjI*JgNPSK(Aeap}m#E|A7MIi?w_TN7R4;_rnq`K*|?jt6O! zy1L+ihO!aq%*Rye&+pb7W~=d`?ZAsI-}yoov1C7#j*9k={L(t7iPx=!x%tNplRF*p zH)eXhaYQt3R!)~1mFrbD$y2r;WZpW#cO3RXX#jJNj8BoFUY$EJQgH#&chD%2sZ|DCn0PMZtB;sz z(T*?ZN3@$iu`uw90UjU_t8Mx+H$taP#3!uh+B9*WM``zbrCj6ws85rIyH?DH2hVhR z{ei~^K!dD+_X097q4i?4HMofYJUJTC!ki?wmv*0i9+G z!aGDmd%78KniqPNcQEcnO3;1&b>5<)Jg{(ixmSV{;*|a~oCUFyI#%k}bAj%5x5p|( ztzvN_ZS;{t#^6GpOs&%DNK1?}Pgl`ffDaD2f7}0wy}tN~ahBM^D`vu0^5GsUJsMtn zb(GV8gvN%Q!r)p0(z}k!-UZp%7XN6w%2C~(=8Y-4Kn4q~p%XU9#(?g2`7Y!%YAg_i zhb@Uc_S_Yt(N};3^{1g!okR{kC)XOz(WC+jexi?SwMN(8@Rlju45utA-p7PR;sYF3 zFGAJJ^bT_qKrSFt#gN?^`eJ^DH^2 zYDIC1_NfwQ-|ezz&GM|S{fNv3nz63Y_d8BB;bqq{Z*%jfm(^-96!5YhM!E`Hjdpv0 z4DL)68c4Dyb5=&D*qXn5C)L!!_q%S$C*$ZiEbMgzy=WZxIVmsYEP;8%wja)y$$!_ef9Jr~#JsERFL3ISi#W`eTR zXkJ!-&hPJL^2=-s{HkjK>}&^oz21OM2&<8-bRFO{E8Tfn=6J9Rv~!8M$}DbhAF3VA z;%l0$6gN^OTRtYDkQkG~pA8v}z*~bmkQOou< zLupn-QGRYAqFle3fdK$x%W+@k?IqTgmYX2keCzQ=VZ3X7Bu}ZMRg2~fZ?hR*`oO<0 z_$gbNtN~?aeq<+0h3bej{PzPzLyd^*tED*Mxe9=n#rXND-Tm`@!E8YpmHAO8!VacQ zEzdtge|Fb8-baqtb;5qJjN3l+DjQn(o)Q@{?a^Af8rXU~xNsO4Xq=$qzV4hAG>#Cd zy<7ZB7*8~926kX!yC<=-qlcSnj+;ig!*F#( z={NQg1isDfEK_*F=p$^){y~G)d30YzZ*;hY7x&p8C+HO5ng@cw%;H}^VY!oKU>Vf5 zSXf^__8nTkUQie+d-t@Z(0BqU<@v)0yYh~8MMfYi@7c|n2aGY?j4{dy=Ur=Ans%(3 zryg+AQgL7Vi!11Hx$jo=b2g!$T!MmH38P3P4=VHR*W71$`^FVNbkyOZO+ZoDss|K#0l@h~r7x@Z?0(g(Dw(|`sAQwKZ&P(YwhZ{z znwTH|8f0jru1IrBig=EX)aiHExOOqADp3~Km`6lt^b>9SX&V^qg72g|dRXqkL2Lvq zRrl?Ju`xr6^XDke%lTzHwvT>MnR{&p66?mRSN`0{)MLLBOK?sY_+j)&xy3>FQOuO> zR72${(**H4)ah~@U!z|$v?v1jtp2z_(KmWR3&B(WBZ@jirmhHP$ z&e5w$=e?d6u~={Gbh*LiVy)o4Yp%wgz8xrhM@KmGH?j^vANhRvTdc}y;{Moo`BaA+ zUbZqnT5aNliop}5rWpm1E(_KI;{^g3jXMCXH>*lJcN6c;6w1U;lXDkPHmSQ0Kqe|3zSDok zSi~J_2>O5OrNy^x$hBwkX3gm9&fxy!@>fuYV zg{EO0tG^{*UJ3p5@@s%dWuVzX!2?@q2lfT_@o06+qZQ%l-hG}C&FX3i z&V0pV%ge3}<9eFgz>f~Pb3?wCM@J0wtkeenCz6JOseQajmzFkN8@Thdm8m7`%d2u9 z?nd$f7l+?kS)ny(>fInlLGz}2*;pl-!v*uzQpnL5lch|i;8B8e<<0X#7LtP$WaNv; zPyw>rcM(@T&;6#fr5}tiP!YKa-&%VXbFgDjM$uLHozHHB<HoMV|Z@rBO_$z(g+ln>`*t?kI@H?KBdkPHv74&dp}wiNjp=yHzc@_X`u!w zw*gzgmnsZ8dc@$^h6vhM6Ghh-Xi~+T%;WURI5n~>=m~g=*2aCe^m@WM9zlqD#SNJ) zsh%dvenx@q70o(dR{M*r8!hq&Np z<%X4m34}m7e#ZtcCg+cjc)0jR8@F!?yALl`l+4f+5$kjN6A76>h!`wSA$hE}f*<%- zVcMJc`nC!{2t(QnWkySW_!_6(^?p5waP|X@Y>-M~$)0uKsUnF?bJs_F%3dSyd|LGg zyTRh3}*K&nJuKTP=5l`0IC6{UthTD?L1RC zTvL;IsB3IoxQ;UuA+FL?Km(1>pyWXlJ)6WRHMK>FV*w|kefK;B*H@J`&QOu>84y>g zfRhhsdlG5eaWg6W8B2cIJw4&Pf->!p-rd&MjT$l36L#Q{(jlA0Ottsx%IuRT(OG(d zC!$2FM})w5lTr~hbVOwEg%y3|J7{g0O1}jBBg=h&O_U;A8LIip3fb{JhQXqJFP>&^ z(Xwjyh?hevWQI#LUtef*6xlI~2E6--vcnd3hGY+pAys9FV>{?}J~SjsO;y zdS-xSm$FMMA#p{NSoVQk+oy5<6`a3~D@KHI&g3w53i*2!*?y}vd$@?2>`DJE7$-4 literal 0 HcmV?d00001 diff --git a/writeup.md b/writeup.md new file mode 100644 index 0000000..d7df787 --- /dev/null +++ b/writeup.md @@ -0,0 +1,21 @@ +# Test branch results + +Decoder +![Decoder test](decoder_test.png) + +Multiplexer +![Multiplexer test](multiplexer_test.png) + +Adder +![Adder test](adder_test.png) + +#Waveforms + +Decoder +![Decoder waveform](decoder_waveform.png) + +Multiplexer +![Multiplexer waveform](multiplexer_waveform.png) + +Adder +![Adder waveform](adder_waveform.png) From a5397cb341bf33328e83cc374905bea0d522f9e6 Mon Sep 17 00:00:00 2001 From: Sung Park Date: Sat, 23 Sep 2017 23:47:48 -0400 Subject: [PATCH 2/2] Fix writeup format --- writeup.md | 8 +++++++- 1 file changed, 7 insertions(+), 1 deletion(-) diff --git a/writeup.md b/writeup.md index d7df787..603511d 100644 --- a/writeup.md +++ b/writeup.md @@ -1,21 +1,27 @@ # Test branch results Decoder + ![Decoder test](decoder_test.png) Multiplexer + ![Multiplexer test](multiplexer_test.png) Adder + ![Adder test](adder_test.png) -#Waveforms +# Waveforms Decoder + ![Decoder waveform](decoder_waveform.png) Multiplexer + ![Multiplexer waveform](multiplexer_waveform.png) Adder + ![Adder waveform](adder_waveform.png)