From adf08277fa214a7cae2ade3386359c64be997395 Mon Sep 17 00:00:00 2001 From: Alexander Date: Wed, 20 Sep 2017 17:02:03 -0400 Subject: [PATCH 1/4] Working structural gates --- adder.t.v | 23 +++++++++++++++++++++-- adder.v | 25 ++++++++++++++++++------- decoder.t.v | 22 ++++++++++++---------- decoder.v | 21 +++++++++++++++++++-- multiplexer.t.v | 30 ++++++++++++++++++++++++++++++ multiplexer.v | 23 +++++++++++++++++++++-- 6 files changed, 121 insertions(+), 23 deletions(-) diff --git a/adder.t.v b/adder.t.v index 76109ed..5cd9fca 100644 --- a/adder.t.v +++ b/adder.t.v @@ -6,9 +6,28 @@ module testFullAdder(); reg a, b, carryin; wire sum, carryout; - behavioralFullAdder adder (sum, carryout, a, b, carryin); + // behavioralFullAdder adder (sum, carryout, a, b, carryin); + structuralFullAdder adder (sum, carryout, a, b, carryin); initial begin - // Your test code here + $dumpfile("adder.vcd"); + $dumpvars(0,adder); + $display("A B Cin | S Cout | Expected Output"); + a=0;b=0;carryin=0; #1000 + $display("%b %b %b | %b %b | 0 0", a, b, carryin, sum, carryout); + a=0;b=1;carryin=0; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=1;b=0;carryin=0; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=1;b=1;carryin=0; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=0;b=0;carryin=1; #1000 + $display("%b %b %b | %b %b | 1 0", a, b, carryin, sum, carryout); + a=0;b=1;carryin=1; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=1;b=0;carryin=1; #1000 + $display("%b %b %b | %b %b | 0 1", a, b, carryin, sum, carryout); + a=1;b=1;carryin=1; #1000 + $display("%b %b %b | %b %b | 1 1", a, b, carryin, sum, carryout); end endmodule diff --git a/adder.v b/adder.v index d21f7e4..f4699f1 100644 --- a/adder.v +++ b/adder.v @@ -1,11 +1,15 @@ // Adder circuit +`define AND and #50 +`define OR or #50 +`define XOR xor #50 + module behavioralFullAdder ( - output sum, + output sum, output carryout, - input a, - input b, + input a, + input b, input carryin ); // Uses concatenation operator and built-in '+' @@ -14,11 +18,18 @@ endmodule module structuralFullAdder ( - output sum, + output sum, output carryout, - input a, - input b, + input a, + input b, input carryin ); - // Your adder code here + wire axorb, axorb_andcarryin, aandb; + + `XOR xorab (axorb, a, b); + `XOR xorsumout (sum, carryin, axorb); + `AND andab (aandb, a, b); + `AND andaxorbcarryin (axorb_andcarryin, axorb, carryin); + `OR orcarryout (carryout, aandb, axorb_andcarryin); + endmodule diff --git a/decoder.t.v b/decoder.t.v index e0e925f..7f878a4 100644 --- a/decoder.t.v +++ b/decoder.t.v @@ -2,31 +2,33 @@ `timescale 1 ns / 1 ps `include "decoder.v" -module testDecoder (); +module testDecoder (); reg addr0, addr1; reg enable; wire out0,out1,out2,out3; - behavioralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); - //structuralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); // Swap after testing + //behavioralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); + structuralDecoder decoder (out0,out1,out2,out3,addr0,addr1,enable); // Swap after testing initial begin + $dumpfile("decoder.vcd"); + $dumpvars(0,decoder); $display("En A0 A1| O0 O1 O2 O3 | Expected Output"); - enable=0;addr0=0;addr1=0; #1000 + enable=0;addr0=0;addr1=0; #1000 $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); enable=0;addr0=1;addr1=0; #1000 $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); - enable=0;addr0=0;addr1=1; #1000 + enable=0;addr0=0;addr1=1; #1000 $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); - enable=0;addr0=1;addr1=1; #1000 + enable=0;addr0=1;addr1=1; #1000 $display("%b %b %b | %b %b %b %b | All false", enable, addr0, addr1, out0, out1, out2, out3); - enable=1;addr0=0;addr1=0; #1000 + enable=1;addr0=0;addr1=0; #1000 $display("%b %b %b | %b %b %b %b | O0 Only", enable, addr0, addr1, out0, out1, out2, out3); - enable=1;addr0=1;addr1=0; #1000 + enable=1;addr0=1;addr1=0; #1000 $display("%b %b %b | %b %b %b %b | O1 Only", enable, addr0, addr1, out0, out1, out2, out3); - enable=1;addr0=0;addr1=1; #1000 + enable=1;addr0=0;addr1=1; #1000 $display("%b %b %b | %b %b %b %b | O2 Only", enable, addr0, addr1, out0, out1, out2, out3); - enable=1;addr0=1;addr1=1; #1000 + enable=1;addr0=1;addr1=1; #1000 $display("%b %b %b | %b %b %b %b | O3 Only", enable, addr0, addr1, out0, out1, out2, out3); end diff --git a/decoder.v b/decoder.v index 17836e0..0891497 100644 --- a/decoder.v +++ b/decoder.v @@ -1,5 +1,12 @@ // Decoder circuit +`define AND and #50 +`define OR or #50 +`define NOT not #50 +`define NAND nand #50 +`define NOR nor #50 +`define XOR xor #50 + module behavioralDecoder ( output out0, out1, out2, out3, @@ -17,6 +24,16 @@ module structuralDecoder input address0, address1, input enable ); - // Your decoder code here -endmodule + wire A, B, _address0, _address1; + + `NOT add0inv(_address0, address0); + `NOT add1inv(_address1, address1); + `AND add1low(A, enable, _address1); + `AND add1high(B, enable, address1); + + `AND add0lowlow(out0, A, _address0); + `AND add0lowhigh(out1, A, address0); + `AND add0highlow(out2, B, _address0); + `AND add0highhigh(out3, B, address0); +endmodule diff --git a/multiplexer.t.v b/multiplexer.t.v index fd475c4..e7ff6bb 100644 --- a/multiplexer.t.v +++ b/multiplexer.t.v @@ -3,5 +3,35 @@ `include "multiplexer.v" module testMultiplexer (); + reg addr0, addr1, in0, in1, in2, in3; + wire out; + + // behavioralMultiplexer multiplexer (out,addr0, addr1, in0, in1, in2, in3); + structuralMultiplexer multiplexer (out, addr0, addr1, in0, in1, in2, in3); // Swap after testing + + initial begin + $dumpfile("multiplexer.vcd"); + $dumpvars(0,multiplexer); + $display("A1 A0 | I0 I1 I2 I3 | O | Expected Output"); + addr0=0;addr1=0;in0=0;in1=1;in2=1;in3=1; #1000 + $display("%b %b | %b %b %b %b | %b | Input 0", addr1, addr0, in0, in1, in2, in3, out); + addr0=0;addr1=0;in0=1;in1=0;in2=0;in3=0; #1000 + $display("%b %b | %b %b %b %b | %b | Input 0", addr1, addr0, in0, in1, in2, in3, out); + addr0=1;addr1=0;in0=1;in1=0;in2=1;in3=1; #1000 + $display("%b %b | %b %b %b %b | %b | Input 1", addr1, addr0, in0, in1, in2, in3, out); + addr0=1;addr1=0;in0=0;in1=1;in2=0;in3=0; #1000 + $display("%b %b | %b %b %b %b | %b | Input 1", addr1, addr0, in0, in1, in2, in3, out); + addr0=0;addr1=1;in0=1;in1=1;in2=0;in3=1; #1000 + $display("%b %b | %b %b %b %b | %b | Input 2", addr1, addr0, in0, in1, in2, in3, out); + addr0=0;addr1=1;in0=0;in1=0;in2=1;in3=0; #1000 + $display("%b %b | %b %b %b %b | %b | Input 2", addr1, addr0, in0, in1, in2, in3, out); + addr0=1;addr1=1;in0=1;in1=1;in2=1;in3=0; #1000 + $display("%b %b | %b %b %b %b | %b | Input 3", addr1, addr0, in0, in1, in2, in3, out); + addr0=1;addr1=1;in0=0;in1=0;in2=0;in3=1; #1000 + $display("%b %b | %b %b %b %b | %b | Input 3", addr1, addr0, in0, in1, in2, in3, out); + end + + + // Your test code here endmodule diff --git a/multiplexer.v b/multiplexer.v index b05820f..6afd11a 100644 --- a/multiplexer.v +++ b/multiplexer.v @@ -1,5 +1,9 @@ // Multiplexer circuit +`define NOT not #50 +`define AND and #50 +`define OR or #50 + module behavioralMultiplexer ( output out, @@ -19,6 +23,21 @@ module structuralMultiplexer input address0, address1, input in0, in1, in2, in3 ); - // Your multiplexer code here -endmodule + wire A, B, _address0, _address1, in0sel, in1sel, in2sel, in3sel, Aout, Bout, A1lowout, A1highout; + + `NOT add0inv(_address0, address0); + `NOT add1inv(_address1, address1); + + `AND in0sel (in0sel, in0, _address0); + `AND in1sel (in1sel, in1, address0); + `AND in2sel (in2sel, in2, _address0); + `AND in3sel (in3sel, in3, address0); + `OR A (Aout, in0sel, in1sel); + `OR B (Bout, in2sel, in3sel); + + `AND A1low(A1lowout, Aout, _address1); + `AND A1high(A1highout, Bout, address1); + + `OR out (out, A1lowout, A1highout); +endmodule From 7c6e7b50fbe21d7806489b00712b568a3e7d4fb7 Mon Sep 17 00:00:00 2001 From: Alexander Date: Wed, 20 Sep 2017 17:05:23 -0400 Subject: [PATCH 2/4] Added .vcd and .out to gitignore --- .gitignore | 2 ++ 1 file changed, 2 insertions(+) create mode 100644 .gitignore diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..ce383cb --- /dev/null +++ b/.gitignore @@ -0,0 +1,2 @@ +*.vcd +*.out From 94a274d2d172d30c7150b91e24219624c8f6e4e1 Mon Sep 17 00:00:00 2001 From: Alexander Date: Wed, 20 Sep 2017 17:56:09 -0400 Subject: [PATCH 3/4] Finished writeup --- WRITEUP.md | 71 ++++++++++++++++++++++++++++++++++++++++++++++++ adder.png | Bin 0 -> 65087 bytes decoder.png | Bin 0 -> 67534 bytes multiplexer.png | Bin 0 -> 70137 bytes 4 files changed, 71 insertions(+) create mode 100644 WRITEUP.md create mode 100644 adder.png create mode 100644 decoder.png create mode 100644 multiplexer.png diff --git a/WRITEUP.md b/WRITEUP.md new file mode 100644 index 0000000..5af0604 --- /dev/null +++ b/WRITEUP.md @@ -0,0 +1,71 @@ +# HW2 Write Up +## Alexander Hoppe + +## 2-bit Decoder w/ Enable +The decoder is two stages of a simple signal splitting unit. The basic unit is a pair of `AND` gates connected to the signal that is being "split", and with their other inputs tied to a selector signal and its inversion. Cascading two of these stages in a row allows the `Enable` signal to be "split" into four different outputs. + +### Test Bench Output +``` +En A0 A1| O0 O1 O2 O3 | Expected Output +0 0 0 | 0 0 0 0 | All false +0 1 0 | 0 0 0 0 | All false +0 0 1 | 0 0 0 0 | All false +0 1 1 | 0 0 0 0 | All false +1 0 0 | 1 0 0 0 | O0 Only +1 1 0 | 0 1 0 0 | O1 Only +1 0 1 | 0 0 1 0 | O2 Only +1 1 1 | 0 0 0 1 | O3 Only +``` +### Waveforms +![decoder.png](decoder.png) + +## 4-bit Multiplexer +The multiplexer is two stages of selectors cascaded together, similar to the decoder. The selector unit is comprised of two `AND` gates each connected to an input and a selector signal or its inverse. To avoid the `AND` gates fighting an output, their outputs are `OR`ed together. In this way, `n` input signals can select between `2^n` outputs. + +### Test Bench Output +``` +A1 A0 | I0 I1 I2 I3 | O | Expected Output +0 0 | 0 1 1 1 | 0 | Input 0 +0 0 | 1 0 0 0 | 1 | Input 0 +0 1 | 1 0 1 1 | 0 | Input 1 +0 1 | 0 1 0 0 | 1 | Input 1 +1 0 | 1 1 0 1 | 0 | Input 2 +1 0 | 0 0 1 0 | 1 | Input 2 +1 1 | 1 1 1 0 | 0 | Input 3 +1 1 | 0 0 0 1 | 1 | Input 3 +``` +Is there a good way to define X as an input for truth-table verification? + +### Waveforms +![multiplexer.png](multiplexer.png) + +## 1-bit Full Adder +The 1-bit adder required two different stages. I first developed the stage to create the sum, `S`, which is `(A XOR B) XOR Cin`. I realized that `S` was `A XOR B` when `Cin` was 0, and it was the inverse of that when `Cin` was 1. By making a truth table, I realized that `XOR` can be used like an inverter with an enable function: + +``` +Inverter +w/ Enable XOR +I E | O A B | A XOR B +0 0 | 0 0 0 | 0 +1 0 | 1 1 0 | 1 +0 1 | 1 0 1 | 1 +1 1 | 0 1 1 | 0 +``` + +The next stage was to create `Cout`, which I figured out to be `AB + (A XOR B)Cin` by looking at the full truth table. + +### Test Bench Output +``` +A B Cin | S Cout | Expected Output +0 0 0 | 0 0 | 0 0 +0 1 0 | 1 0 | 1 0 +1 0 0 | 1 0 | 1 0 +1 1 0 | 0 1 | 0 1 +0 0 1 | 1 0 | 1 0 +0 1 1 | 0 1 | 0 1 +1 0 1 | 0 1 | 0 1 +1 1 1 | 1 1 | 1 1 +``` + +### Waveforms +![adder.png](adder.png) diff --git a/adder.png b/adder.png new file mode 100644 index 0000000000000000000000000000000000000000..17ec3f94ac9ab0f691d5b8ba2f44784ac428cde3 GIT binary patch literal 65087 zcmbTdbx<5%&^C%CxI=IV4#8a(2_77RySsaEcMIz|jQ4%+}7vgu%(k(Zs~o$=uHQ61rOe0^&P_q^OYc zpUks$w?Bjf&;PD3lTY1Fbec_u#V#BN7}yDZLCngclIoAd2FXE%Ov#`ULBU|~7C%C; z{GDPM{G#nJARDhzS)EXQaq;%fEtzbsVuY75cIf`5-j29kP~(4B`1r%fl_2LVO8yRrY!Xby2*;-(=W8XqRD%wazs@WB5n^DdS%>_89zY?v zGK;0GBDAO?Gn-#r?VnVEIGx}ae@)Q>2^U--=tNHWpC}Z^DRTPh`sq}h8RD6XVjh-+ z9@?se<>eURxPoqo|JO8MDGI~fQ+(QRha*=Y(QLgTPLcHg%GaotmK;25BBI9rWw!Qc zg5yva4j(@-D8L{;#NR}tv^+v~(V>;-&zc-ok!MBsWrfTCdA{V#hH)BId44V;DO&G| zW8hC_QEwgcP~@Slsdqf2Usu~sM^AlU1s6E4mJfaltSgIaci^OqPMhesxJ2(E50Ntg z1>xbtxd~l+x8a(anygnk(#8q6(7RJk;~)4#rr^Gaq>86vc&)<54y&`Qn&du9va}GlPG8#3XPm^m&(-u7r8OWnol_#Wl z<*`n*K&im9_c!qR&l;{Q`GznYmY9%=inC5hUR@lMzz2p(-Gh|QOcw|X|GhT0(uej= zT8UQUhDa#1MAmRMi}f|&VMXKe4!k!0pYkk73^cxtT!UP*b72Dw*2EJ-QHDWQAw6ft zEIhKHnw8eG+k2REPVI?i@`WCK+&(NqpMK{c6N7Y9kctIrSR92iH6{HhU0YSt26KUt>5iDP>k){fW=@cRWU|LYYO_ zjDk||mqIFTcu_2TXd#6&q~Qk)<+~VNQWceXc9`B$P5|`mE6ViZ{aK4XnlG~3(yzbiU!r)?GGqE*hX0} za7oVOx;E$a#mg8s*|Z)s0Oqk%MhL^5fRSZ#d}yYB70w3X|6@jCmo>WCgZFwl#_3d5 za|@Qid2fRw_w~kSL;0cqs~8p=Zr<9cubk5BWdcNB2FJ3^^szV7lchYzokPI*>ZiAP zi&bJKyzXnady*0f4^MrqWOjX28P^h4e=yMQPW>oVowXD254d(0YGzO6dA(cIRvA`X z^f$p8Xb|5KR=C^nUVGhEO_Ur6qYYG8 z1LOXMqboq*fJ8kMc!GhYX0P4qB5-e?LM4k?6Oes905v`N+w1&Q$gQKz46vOY4qH?t zf>%~iox-;WPs0>P;sL}c5H!Q5WW+quZLzRTm>? z&dmeG#7(J+OiXkZIE)6T+pRy|UrF>iqu+pPYHsXvUvPc4&x-dt((MifMl19_ez`03 zq{M;3AQ1+9giIqQ+}yN>#=;=EaUHii*IY|+BJ_6Stqv3|1M-*cPs)(2%5(J01JWBC2u1lmUI3+N38XjMh;(xI)d_<(73?wTQ_deH-j0V=Lvy7pK;e&E zWF!i~SMO)Q5tPg;V!gVWtI>Y0 zsjV$Pmn7w#H8HoT+cOsUHNH}7PAxdxN=3TgSz%HCv@wd(xx9iL=_nUm9VA*F$T>*l z%h*kvGU+G7#EVv@-irwo81BUN6rp+QD`>lh1^XX?Kx z`mMI<4loH|{Qpe&yMYnYxsUm%hCn^>2lW1E7Eq_jP<^?l+-Hl&+O2yt7k`tS+;d)yd`Wo0&=Jbso92^l9;L6I*jM8j29 zQ<7`W>H^0<2M%NHXA!N!f2?A(3_Y72h>(E)O(VE|&hg4JQ1kj9^ z_8{wMUn>q8cr3{u@2py$OWFVR>#h1x=P_ZL=}HQM7}jp&&?j2B+kDf%{1a9e2c5Uw z86>L)<9Qz6YjZPl68wu$@270noF}EJXu|b8K9d{y*X$AbUNUyk0=C033S*}tVu|tc zth5O!GJHfR2Y+*IpSc%6SRKxMroP}MZKt(Nq_O=?M(*Ua{f@3?^M* zSH;li4EAHcR)-$e&I8gaD@2O+;tHZrX4iC z^c8GxY8w&SIaSU0qBtk zGyc2g&`p~UR$-K(r68avP2?AJsqVmu<}c}5rKh*~%!kGZ04Yk19b9*}`?p;k=(Hu! zU~iIMgDw);CP$Rs{*rnVM+S5#z>k@(Yv~vBJH!MpD{|>xXNK+Gvx`ATf!X!d8LhD# zAm9#|O=XS;gI#s3Vy?V6hmLT0getpuoUmgABB#1`$ZDk1GE=;VF^zG8B2DJ=fPVB+ z3HN?_=5y`CGCa-FN|n?}Vqww#qSN?6YpJz@ZLocw;xIXaR=zH#n~&JO-@mypfDgKi z9sLTUwb7Q4vH27yo|mwtG|fk={xGf6*kE=k^q)z5meVorl6$UoXd;BY`@id|+aOe} z>5d|uC}wjK{KjEXm?z4hjS&8hCamZhMJSgcP}Mg$zc}Ayy|{#X zQqQ==^1G{ni2SI1VV(#o_<+TZ6aqa%oalj$kk6CT>>l#N%%^9U3diafRZUXQF`(sHv!UMo30 zZ{QFN*l0II{V>2NRl;+>$!R%CeM_Exz=b6Slp(eLhZ z2w6jiBosgt+K**|xQkt|vz=!$Yv)&57eW9v5f}R?m+d6XYCx9MJ#E!j+!+ z!pm{*Jj3{f--a0vVnJNsxhteU$Qf$-S49F5RMRMHu8HgVyGzdk>W@45M)XD=cnD68 z0L@1coYzu6KJ40s>w}JQV~TbQg)^Fna6u?3t`*u_r~j0m4li^_ew1EZUq?EE+x~?t zS<@Qs$C^sM(|QnL2(6DJ=+GXt!=RmG!aOveDO6#NglnE&Rb(rxR8~_1I=ye?Q&rMg zHzCIs>$$Z1Iol|A3dO5E-uZm}aB_lD;X#M}lTcSYN^bWV2t+5bgIHlS0cZ(zbfVw2 zF}?RlpGayu>$5&n>y4{SueMwYQnqvu6S{J}b3`tW{B%4EDnqMtBorvH!8IJaK|wbO*yYoa69Kh3`=)C!MB=HK^%yi84#FBms{!I;R5#5V%7dU z9<+)hKl(4U#@c1d#0`4FGS&<@lV)9g=xXJ;B%>m!i73 zW6uLW|NgI+b!gb0&A5;tpYGY%1`ho_n@L)3=dQA+?#p4N?ARtQWqwQeq!b8Vq0JAt z`5JH7gsMS(@hiwXUGjbeRbz@fJ`khWeo)j;N&sw+Xqyt6Ue94|#16hjQv*i1ZR$#7 z!Ix79(|4kXErw6=A@_V2kTV+>@ijIryvK5j9us9kN7q_kV=vHYrqgT-v56v8BkFgU zeRZ5|&pfuw{>9!t=leYY^kN9D<9RsqkVg@hXz}5 z8F4benJp|%3F7x4grX(GM~oc!jRc4z*Gz`YD+AZ7m9&&ZL@ZfRLJPEpC9QvW{!LHk z^%8I^agohtZSC{;4DtY<#<12UK+OIV1MfDr1EGfio9)Q}o84~31F0^o@A5a_?Jjdv z71T?8u^l{@!DIC|R!_$R{q)0&q(Zn!y*yNGQ`K?gnifJ-)o0@6Z*YF-(?NrwoM3}I z{X^`n24?M47K$a8ZLj>duCd+L+l^`Ks>NZcb#!^kD3j!yjgllnXPHV}PVX`5BC2#X zp)$Q*X+6SW7g%a${R8XlbPKd5XX_tWo$MI^!7_-Hs`*pqut+CJ8yq1-;F*zsxm$sb zOQidCLqW^?6wKF54{|4YB=nigvli0q2+a?j6qCfZiB;cK>h_7X(r6lE5>H-gS;?=C zrC?Vcr^pE2HHkTf?fsgVgB9rAA;DWJU2bwI<)zwrud%ZV-4ez%6~OVdr^0`^rXHs> zTw_N^W=4{nZCVk{kIlslnS0zNmL690X?yxIyBF&G?c~+k=iosb6BPOqL|G-ba_PMC z^7N;mrzMW%8bVtO|sQxc}8_`|k?ARtAlpkrM^7!+ zd9pdN_8;Wmf;W9f)9s;_H7qFHiH;CN-;%6#nu5MaFBEW}VKJs{THwuFl-N%%W;F`_ z`bEL^oJwnKMYY=X@^{|_-@i6CARPKCapJHERSmt)vvf&$_FbTUnMU`C(u(}r*sLgF zuQ`tvy&RFN*1iXS&bE;)ce!oD;Xfz?%cBYB5^{on=9OnrSH{^Va+4344Ex;Nncpq| z5ZEc7GLQhMD(+ACV&&PDx^a-dG)2D6jCl@81rXj_E_lL1 z>{eLDt*iUKXRJ6EJ#GLhKGj*kMv=H`S^wj$tIbGVUT&a4<*y(1)fd|TCq#Q+v)bu@ zMv;s!%n3BnpqWJhs{k?XaD^x=4e_axP0-si8H9IfZo z8|dV3E)D{ccL%lo$5+HtSlFH1a9aKE-6`$`(sKWI>5RZns{iBLB;y-V&84PRH&|r< zcflv41gCIvYH8x}U*7Y1O|ao&L+}5dq)YL?0r)3V2Rj6#^S`%vfMgX)`9C5Rdi(t^ zn12dL^8Nq+(kUzWHnet-hAh<`JC0al z%exMr!uym3q2Y>S&9b8>-#c*~;s_~XIj@J6h8o`@ z@|j)F)FBqCbs`OLm-a}MC09zsDx_&VcNvAntQ;Qjga?Ng4W&oUveY?>5<7j&D%2b5 zC{6CAD#3AR0p=7kDk|7oK z5fKnRc^&?kh6ec$cRW+}xf{=+`n)n|S{fP%{qYkOue*M1?m2U5yzv9$m1k6NVGH)^ z=K`N%?k)!egHJNV_?6>!}JyN$?l?vno@_Hg8 zLy&){Ci}ZB$ct(=gt{Z&n}t zdQS_;sX)V0&Km|z#xd|)@U!I*7u*H5&dj3+?+T()vc;LK-A=ZcG0yD*)qy1ZB)gpZ6* zu_JZ(N#d1;CwlVZ>i$$>`Hjny!PxxPk}tv3@CCR#Aw!)<`medw=?HMjs9;QHBwAp- zTpx~3E|I`xKQ+0YsTUR0PoZs#BUq^}r|Dr@LwQvH;Q`t>>88{=aW^sGu?>wD!V^Hj zi}M3j2jrt6;VE+lr2)%BxW1)eavE6r{7^ww%>F12ng)9a{3H9^1Yl==sVUgK{W@DP zZwN9`j76&TMn~G(+FJ4<4t=J4&k)p4lk>Kuy}QxnDseHgZDJ-?Ahr0E5lqu65K2Ky zX&i9bEXz#DS+)QCG$-ImV>*fb4JTgk4^0`Z1srJ`7^I`9JTuFxppw+PBYg9M`q!bo z2LYDGdF!HhsAhidOtnv;eM8G}ZG_4&^;bPqF(+$I+Bftk4=Wojk_;`>*AE zl|Aii(VBsAzI{v1Oy}5qSCoEq=hbAB&HBiGXJ_Zrj}pl`_+A8;)X7Ae z&~<$VIY({C8RH?h0?r@s+U9(b}G1Yx>b% z(}wc}6UcFgzHJ>K|7&K$%G>)I8`IhEVy+!m(8VBjvCuFg7e-D(6judmPkxd41WYeB zwkXur5Mhhf`7w9)&(v>Z_+La6ZhE6zc1bNnA-88-K3OStnC-9r#K1?1#=90{vgmJd z9)hV~r}LXAqVfIJ8-wzxt3^`tkM{!LJz0ce6YYx5?%R(ZGy0et*$@)f~HnS zQFc)d15ya6oN})$C z7!Ur73k+d>;Hm?6rZ)fUkMGHeK)I<5lJ3{)*A>1;C0y~ad% zM!>!0KC>FPnAM6PvEfnRZVXO8>KJvS2~Rm7##|)K<^!C5hIk>;1bDFiH7l$R5T82N zWS}i5!B}oaIYWu6&E1Q9HU_^}cM5b8{Z!<5$@FF$_-IM531L{^j$a*uTXg zCo{E%S!YN0v~ z@`@d!{SqX_xjS?wKe(reCE&pU{eFX#zPd^^mJn6*93)8IK6rAgS3o8!qGjFeE)^38DRCV}OSVTU6I6ApG6Xa8|i%ReAiM zGY$?>x|nWy!oFBE-=hmH!3a2UA$VvqiX5wMNqr&gD0o`QXv3KL-v4?5*tw#BA9orbo-TWQ!t*!o9ZxF~^lQIk75I#C2nUZF zI9J@qHnbBE8Qjo>WsphjhzvAaoz( zAyoT|2FN})1CyVBc)U$G^6Eqp3d9$Ub{X|PNg5ZL)tgTP2|b3K=|>H>cf_Jd0FWiK zt*g-y_=-VjmFjhdDTf|yZJx}yXNuON80T_2m**|~^Sp{pfHQ^PR#n~`aMnuj zXD#kUYaZwX2Ka2=)Jz@$yb0M~;IXPH@BN_06kzBu{5v@Ybl{r#*+QS*CAqyB@wuvg zK2j+(Sn~HKh^$0)x*`8riPFIOW|M3BtjV{04Z~G4ki?bPLXRr;(c=G}vc72*ISB99 zNZ$IEA5PKyVrVRXJld6ZAx`UI#Hq%w(hJ#OyNBB9;`QF&x8~LQ{l0Y+w`tS6eO00q zUZ(5F^o1SQPwca1boKP6EcbDHjMNyI50Jb#g~->LpW~^BvAgU_1>o#CT_DG@a8$+Z z*KCaUsp$ot4p4I!BLn6 zA-n1duH6S^8m#uD@O;1Zi>>5UyFpvGT$-t+rK#dd(C&JX%qg!cb+rY)dHRTs+h$BP zXhZz^8o+1~78`rG@%n+#kFk4N(+)Yy?m=lQ;Ul$HF0b29uOq&2*9UK_8*^OqGZkvx ziu^E4QXf7jOoxe422o5swb*|fb-Lx*iPQUm7alhI*Fu`wJv}WOeUOErSUv>~5~X(I z*FL>)W|(w|f{$%XL-JWN&Y6vu9I~;cVMNxRh3PNxaL{(C4Q^_RYFICsPS6AgnbG-kTXObj8y(60LLxM;H-P5u zM|ulT_NwRgx(3o1iEzY>Yd2y;j7p7Q&l=NWeG?T7_b~tQH(LQ(f(#8%TkIk0qaNb2 zy4lVc?r>w8nbS=n5~0+Y$HZlhZz2wkZE+)G5X2gfQmTVGr-`0#&yLF~{7&)a+-%EH zhsEBKe@GD@=PRV@o?efRFX8O_k+(TR&6rNK(+mHa{R4#;g3OT?Xrw`JFYSw&)8<`2 z5xF(l(BLESUX8qWf4U`RCT$eoJtHwUlb|Y4aX7yf_3FqUhpNl{r|5T*0R$CjHct5XoRD23K_$MGv0p&w1r>00hU9Kaf@kEm`p zx7Jeqb^Dg+!5#R*R39vl^D@kPZRq291!4W~pY+78V9wew7XftUbj|#}O`gh{_l+2R z_B9fdE;qAlZ|OBbHQx#TN%fxuU*epQ6%`Wc^=9XaoDOBwq5RRjFXAMXeVAi=PT#id5-cG9KL_<#>TOcbR{m)mDc=HWJ+*81bDHD=Xz-tC&J;t*Vdzp7qa8FxqS3#!{~ zm=6B__*imt&lOwO$URag8T_jF@v9rF-36w3+4?j79^V;2O#7_whM*GkwB4W5Fsu&l zNhY*=BUVm0vvahSC>49M#xsObE~*0Pf*wuR*3pqd(pL)Y$K_@(3#PLqBwQKDgTCXQ zx#zDDa^XiCHY{IzbZYyq%eE)BpC<#{-n>ABL19f5z};qxKKU@M=j+Hl!h1SlEj0t> z6hkp&EEQ5sm5sz)PU#m z&5BCX7S;n8`k&R92ucN&tT`bIET8upM$Ef(yARokJC?ApoG?MGibd6!YcY2rNzO9XIWNLbcf@VW*(3|RaR)*5bC-=786;vUP=^o*1JP5v-8_J?z-=E zIX4_pCIVyi5Ao9$Z2=-%Q!18YzX;6gaSD+3Ve}StBrFcH<)vev$oT z=ONMwqV;)1Ip|QFTuS=@o%P6#;B*cn#;*Npbwo{(j{s2#W7TMixUURryB{m8Z3}mK zyld!sj*O;aPVDWZ(W;B(T%X;_-`XEAe|8{1my|aWG66Kz={38e_Bnn$hoTIu-i;3? zbA(?@PTmXygGGy}XZT?0+V-(+TMmuZN_0SdvoHCJDGC>sQeE&jeV8fs^BHn6-FLRt zBODsH3($Oy=S}_N-kGznY1V%Zelz+sM_ij}PY~_SyRQ@!`?L({eFy(Y%Th4YHPO(T9y1y z!s6Utq@3wUI_fbN8|iqujv%uutiL}X%aD`$;+N<+Qb;-=APf5RvP}w1h*$)+?xwPz z5fLx`a1G)p*SOmw;?v>|k^b;drRTvRZSHU&a2s>7@8?-`^wCQoIpiO3dfbS;eAh~i zoAK#Hae0-?i6qKl8pVJc_$g{Msb%W7a)L&2Pu+T~)ohq}eZ84&a+)Z3y%?zU{L)7> z&Th3(+ontDHllAWj)gg;goumx@f9&S87+-DcV9GV#!E&nYuLnh3}mF-V7lSdalZwo zSo5WmD4|m~x&5;>{LCHu49z7!-m9u7R|G(|L8b7uG{(I2)%6!RVKt4hH)?+AvVg?> zs+rN*kWkD?Lv)v;`Jzj06YIQdr#ks6KbCco*Uu-Y_6?`5r7(Gg>^uk%^{+zwu zKgCY=Ul5HZ$#=z2(&xv)oqM0i_|m#O;U;e_IaHMqONbLbNn3^gln$_c87&2khQTM$ z;NpjlD5M{urdx(8}8%iuEml z5o>(#691JmzVek2fJ3v#uNUmqNN=4=NE9X^O@( z*>@#CYJ(iHAK&L8g^13#CjacK;iuuvqO_Bx;vAHFlG4xkT$OnPQn$q)0n)QSLE^Q zUPvUEL`2d4EU|-!Rh+rF%=-&8y{h&T4B`T(y>S~VdTBl}*Yg*n;Yo+wzkT0T*2%4& z;CxxcqW=o;;g|t7lRTAi+Aj`=USd`^vUb&cn-0NdWo3j8$2^tkw@8aRby2hhI@V97 zbOrCsp#^N%mB_F1pH7jGUv7&0-shzGTmG`mQgY?iXqdmirIJ^Ozp8pfD#s^Xc_L3J z2BeSN?h6IFpPkxu9XXe~djjc>C4YY-@LF@hemrRNq`SC)3lUaN4!20}5WMV62AL7M zk1?Jrsx)P>QuVB-T5kN0pUf}+;c)H1_xh&v(^^oQ`IHPI+vg#Np@8Xgl!0#IQG6}t zEwN>IcGCFuEk!bi7onjJasa_dT*g^X_^i_gGJl??zV;t`oiM2=w;x7CJ~ut6-9Srw3u4F7wyd^q7L;thV3Adjy z@{8}Nka2fVo;yC`@?Cl+?ET1APg*D6;z#?8rKpaDR4+rHXt*e|2(o!{aK0rC3RkZl ztfxg>%bs4&@B`CfJdZ8&biJW-sJNpXU&dv7fDmv(%;|Dn(V1=PUvWDVby+Md09~d} zIP$Uf{I#l(7~H;roXrwg!IZtm>LRb`UD)n_(EUut3FxzYAu~{^G;r!4sD%el6)4jG ztIv!|UBbzJ@!y#mzOy4nvf<@S7tWtpcWJ#yiZaeLYQ*BbmVMAzl)&JFy*tS_Xtsw2LAy?MQZn_z3S(06csm z7SFoIsx>7vKT3UWP&40+S504}z_{a|J?ST3+OAufOLd>HxIWH39VgqPZwLPI&*GI#9 zb4+FlDt$BZU8b|CMtHS)pXsag^k;E$ovudd{vqS(44<+|=!WnIGM$iujRbUO(uXyyM_pne)UScK#o_toOXsc=f{!0h zhqJK-r9U(QvlwoSMyUt3vVC?j1U79X&lc1<(>H5?%g*_m2GH*IlaZYDvksil9P?-L zYUaviJ7PCM_PZgg{y8U}(-lqpdss+B7(%mGGZdR~qju$D$Def5S;?^&YSMLRA;BQ> zr7YX{c$a3rC!DYAHx$keOa=!17(R3uc{i&~h4T1O=u06X0VZ$}UFyNutZw1!8^X5f zAc>jAG~*l>v6LFF-NMgGDs+UPCrLqG^|+x^?@6wYPSItMwlk>${nXA5t*)YsczN@p z_|$BSK*m;xigJyfykR#*)OpdL9dA+ay)}5%WlsmZwb72E0~bNu37Nz8D|0b?e#$TV zugv_5W8;}(Va`?&mD@!jY+dFwq8Zu%N7bmopyO}$RqM3Ym|)eF+f4KN=QGZ52M zh^3tIEyH4ZoN;ldJ`5zUVT0?>_HDhiq>6G%2O!a3%>aeD-rc2J9A6qTQExax4U}5;7a|wdf_E^gZmO1)q=v6t8b3@scK^1M zaB22mhze(7x6Kcf>A;EYo!gK&%NmnwBj7E<`YYclG>WnEdWzTc(ri@My%!bb;KnZm zDF5iV4>!7oo7HOj+}D}^`I4Z9Rce3H_wWU@$a?p2u@x^eqlgTR#$Nf7y6=zQ@@y?& z(>a{X^X79qyymUKD*&bZgbX7~x0C_d%;m?$R85fLQFW%@m6dK=ZrrU?qfk=PXxGs#uWK&)y?2NJS*BSt>;P= zA^6+uT&?x%cWEy=vEAH)0!V`>?Rm47&SfiFR?od22B;wjpHB-QQy-^c874V4@d5xvIa|#Z;W~?ytJZ%#Qq;?Emc9)c&}*_fWt}s=p!fJ#*IC+;wO-a@#e{a{UtQ zTT^Vg%=$azu8)RE+)XIwI*>-UK55O0cpyQrt*Ap$Z#`OBB(+=F5no_6vu#K=J#dduQ=! z8{=$O%Cr)lWs~K>d%7jB(J#i)GN5XNN(Ed>(ztq+)l#N1a=MMs8Rz`GMOp-Jrncbw zMew)w0^$^E6iX|~ixlze`b!$mb9h#{&eF$K$i&VUx2P)VUz75n%5u^B7xPN%+>J~9 zp>enKB+M_uaQ$+9Y((BB)3YH{lMi+a3=E|4o7BlzT0RSmD__ebW`H=MnKs8?qGW2H z-fmskiJc*IzvNRQYW%KZGymPKqn53vbC+fhl_JNTZge=YQ%QYrGydGYa}*V~cVt)Z z?j6*KC*s!H6kF7vt=FoLm{%wAaVRnUsGLKfV)a}%J?z)fpUi~bdhd$eoxd!K6|dq~znaX)rzibEJZ;f@=Yq^8{Xmw2}vkggI1 zo#Wr(%y86ZpW26(!AXGr?UE6-hxabe{uEB@dqGkQmF~DdtzVI$+v<09iC;9h`xVRL zf)hOFi&1ioe#Ye(E>~_$h5SzVDdX8g4T~FneQs2Lxet|ZbaG3OsI+nUi|UGhMwqdP;o@b{mc9;&&N*DxC>LPGM@6dJ_38}yR~pjHMOWF zIh-bCN?{G{X{|(A< z-C1))+FQizq<_DmJg~tV9MfK9^fd}Pp_+$n(vpIohV?|P<~glApY=SpOD^5)P4)}S z^tnchHiXa=7U+xL+7xs7%kKRDZZa)+kCy6~`c|gD=XDM9_1pNYQH}Sb)6T(xcCATw z(*g|X^@|^UL1~9>UzcM>+j29@4$P zbV2{hbQG;=&a<69RPOv>=P7tKP*Kgd;GM3OTztMAG_Cxw>;+aTEn-) z8`%fDQu=m5l&zJ=@~~4dw7{^GC5_bHfY9PZQVTYu?h9N1ijeyHF?WDd#U72n87TaoQEMCEDf>->Y9IlX?8Z%j9nl z0#UCYOsJVzN&AcCbN23kQyVdkS$UF)P8y#W@bF#F1+HDoBw~se>)+Po_U7-4%KT4| zIF?Gt#Kg2Vk?Tw%_6`T0)vjDVI0Ww}jnVwFaLaHhf^41-c35KX4GCWVI()&OQb-qM z0T)-fzA4TaiY*@wbSp z!Cl2{2M|mcyyoO=zngu%UHl*ri3j>A#r)bI^xYibZ=QV~4`bI>B`VrS5hoGIM(HlQ zaMrf!L}($id@{X&O}q!hIo%3dgB^@U=^hIoDc6Z4G&Qv=Zt%lhr})YWsRycs1TI=2 zz21At`8FO2V}_~^S5r0%*`Mth3D3py%oeF4PcEPTq>1HZVy&q%5cpI=zy93G`qA#D zu-StlCk}r2SZ=?(qABO_>+4=lH=ZT%hmfT4pYp^OQpQ3YQbDWK#>S?Lh|sa7QSFb6 z$R%^N$XEZnkrD9g5}xDl2A7uU1qnPU{IIRC@X4dVq`=>-G^Yt40HA$yEY0cSa3<@r zb}P7q$K!ndF2dGNEJfrb9Qq9Su-CjjezXB(*?hmko5fjb$uANt&ID87yo~AnTBW7k-Vj$de7;O~sm9o4kJ_1yHMSP9h$l!?x%-N)D;8THZUyL>ADK|F zfaFRfYvO9Ha8A0;|KK9AA0@hB*Q^r(YhAa!9M9!6kw`-HM3vs%k4W+V;PbqVUN*MB zPOx&FgoC7r9Xw|C7U>SNQ+}6fZm{b@8Yqh4WYYNJJVuV2Gsh<-1rO}V%2eR`=+&3% zr!8CeCg$X3j8h#jz18V!e2zznV`^xm;jWKGpO@>RqE3^P%hLR(Eq+O4dmgopr;L$$C#TU0j062Lj|%du?>ZGs7v#>CW1uyprNKr zC=KQ1{$UZSUMwo3KkUIcFVp@>$KRto-1s)p|M^vwl~APFhS}TpH#gNtRP{wpbjfqtN^wU1npyTNP+PM)6?8P1bP;dEwDkED9i6DT^-wIBmK0Ysj z_{J;n#B%vn#^mJ<)%{%puqCE`h~7vrlM^D2cnxR8ZBnz4ezH;18QNLjQWhFtQan%88R{%W7M0nJI5M+ zJCX{bIMwEvkNo>D{NMJc8D>&=e6vW|K;fZ^ptMHqHnA_Yj`BZ7A1T;MZP2{6o{Tt; zx#J8?UZ-V#J7xaKh{8pZV<#csSpJH{eRW%2Shg^y&1$WG!=AOu_15k%3g%aAYQPc0 z8q+nkKlp0^>%DvGezSp9JefAIx9<)j3#9Zb-GDS9Ue}vtPn;TccRuUpyXlb_J9!s~ zb$+BeXkPrY;r4Bl{yqNDrwKYcsIfC9ImIpXx9jt*AWpD2BoK5vsd)P}&-lMy0OZQs z)VsS5vehPc!*7#rjBO-1cL<9&E2Wma_&T>(lbR*B&jGYD_4sh+{9zI~U4g1pW@&ar zKmOv4CVz>1V&%EKHHN<&@+Iz$jnPey+@2quIGzm--N+Ih%j9|MdeACnsE0<#?ioLt z>PB>(7u`M0G1h)@?s|SE-)M%6fQ5`+nQ6@nJ=Ma}ZtNu6)TrJNEUCkDlkr088@qh= zxf$u$)zC-ui1zWH?)2(8E>*dVEhR|2Yg7idFPyMEPWQ(RNXq-Z_}mG)jy*w*wwVx) zjud@l@_ae1YIES;-k@@X-Tb*4*SCDLVjO_-J__GS4Hn2a&JU?iV}EuT+;~(7FKEY6 z{lg55E%}Hwqvlg9zQK|4hA08QRUf|+jWb$y!kfv>wn&QNef`ekmajjt#v>{!HeKRI za>wi4(-~~Cyivxh0kmb1*xkYPnYD)iuHw`H)h2b9Y+N%<2nUpEW{ueKmTL|EAI9DS zDvqFA7Y!jm2o51Qgy8P(5+Jy{26uONcXti$?h@SHT?cm%L*GP{nj|ipnq}8D)r3v~*Y4ycDbU{F{Ea2*15;>UWI& zH5AR-HTI(I)&g_&McRMZ5e@IB%ooXl#glqus9;9St8%pkvnD{g86!IEzMYHor6(o( z6=Wu*xmxa^pX4NJSb$oq2OKSi1V9LEeC+r0(kyJF8{!MtPacY#-|`B(1x@R=qft6U z5tQc40F7Y>R%}+7@%qgzE+sp5=hxz(79axk@m(VmweJCi6yj=UVxBlwh7ebDwkDzB zo(*TOsA@gj*Klb|1|H07H8 zi_fzMc3V~plSd#6C7^Y3aS#s$0#5kFjG72%UX~TEYu9r=YuBy%vy7k=94}>RXmE zVVO#B11@a#PvJkz{k43aTZqs+qy2O{>~6ZM*|<+=hKdeTmYSH)BT{IIS&xyYHB zjQ2O8s+P`a=`c?*?e6zZ4La9^mVB04zhXWuuSMZwP3rS zL0}hbpC2zI?vt#M?*BE1g(afch7CyPpo2(wu1^?3W;UTzfpW0fJ6GiI=EUbh;lZPk zOrZbyTYq5X89{;_BKwbF4v-cFFEt=rUkY>Wq4Q@+4Xwnq`pdq;YFKdwOP$!D60Yb{ z{as{g%puKFgJePX)`^6yY!0DQ{PD-C|EpT<#RnzUOhx02nuH)Id{~_{b zx7XZDDMyrK><1VOMGlUwDdP=G;{0p`VqMLtI8b`Ig}oIy6Pjf#yjCx-kR&ydcY+NO z;FJ|7!e?hbe(TZn;!{!ORD>%LP7O9Z2Yg`Lg6$tqNKx|n+2gO}ODZu_5rgo7;43{v zdFG+>TBy_Z#wmOB0nR6tiOmc8uq&izj^7$RUN?>{M`NU7P>UK8T6uDADW+-MbiMHO zh-0hFu{=9~U~pDZM}8BgkZ39uyJfkomqTKVx3tv`$KV^Azx;BtY8r95YB`HbN}MGQ zwmclDtrUDUxm;_ECTT{}Sqescr;Wlp7#9V`YI`{(5hNTA;-UZ-4)y;;S4>2acDKO4snU|nK>jC>G23AT~kU=&-V6sB_c7=A5sTS!_FN|Kf9RR za7*f9ehWSgajDSz$Wm>%b|O`Bn?F4<4vvt^Z<8N>_myJoX`R6(-Dk0| zyMOuvyU1AWWN|jlsAv+4#%FxNSu!~^;I=7C)Wcw)6NddgP}K-qj`QGku>kP=dG%$p zADy|PcR0$?e`;?=x&oJfgo;I}u_~6hV=SrVjAovhf%`FUL}S!cwT(#Tss(D%jM>J< zrc$+R!L0s?`e*t2P%AYjq__bCt^tFlrY3rL-;;%Cxu?}^gUip81lL1!VPdK8roaxT zx>Md7!?nLFl@~vYk649?dF;!}3rKV=W_EIB6tIj{*WA2{ipY-F8&a=puMaI`%L=9s z&Mz*q^-IwCG5t0xmsc(Aymy{U+!XF#p4$n6ojudLhK)Msr~Lx?y0j;@Wd6!EdH!?8kvd2$d%M|5IBKCNHwxf8C!08TARoJO64c1Ou(n<(H>s3im|w=t>-x z(y2JD|M#Oz=vNFvYJ7Ze4&m4N6Ctg!2cM{@sL(l5d_kg09Q_xyvl^l&>I|$^T+2Tp zhYB@8%k}ZAWe>TEGV%YqHv{n#`8p~J(W2e?)6u+Tmi>_;psdyLjQipGKtt4~JQ}CP z=d4|`=Yh*`0PK1V&S=e<7Pw*$5iUWUu)gSxQ^7f=BrIl{&$8}u_3Nir38H_QBH)d)nR0V^N|!xE-|+_g4VpD z?@VpDxU)0-Vfgr!)B1;lA)>d(k3MTPo)cPgWAJ>cO6ut$baQuy$&iwgA^}O2XqI*L z-+ZbMT5eB6fTxq`a2?0UtmMpyQU>9L%$>l*wNaZ~9nS7+eD?a16b;k?W|>H2g3I&5E)W?=sL zW9L{^TSgX;s91ty$yM>`=NB2YMai=*?eb(v;7Xr;*|>o zIG}d%XwwKS?$TaI*ZFc3zLjA%EbRlyY9a8CckZfSXAJoEKQOt|966+I)>dacAEX~_R)AyY^`&Of@ipebiu)G zh#Rg~-&3mB`O2|r!yAth2?W^Mt9invgvX}tU3KGm+A?ZD zTTLH$TB{BH5v@uuxr>6&U%n8Nks;w}X+grbmd^NGgGKvQ2CRy)Sk?A^NO2+ERi*YL z#q-r-WO?`QirYLBrXFnhnVt=qOK9-mxwFM8#emQEqtJYI)B|8Un54 zpYAAaou=7mxNhFXdCrV`vh=}S@dsJHR}2Do&fL^J+LtE(q7?s_~(@u45{@;^omjCfxZP;Y<=}NqPkmYownz)ytH&|heM;>Z!GtkaV7ZI z#NE^#yHl#VAg{Lr=?z5i*lR5$QXR@ZQ*F}cur(5$EcGBxx1-Ikxqu_3ZJdE)-21kZ zIr-HUm_8~pTF%A5KU?aFFa)jJ#;Bh>Ri~+t>23bXO{%XoT8cBE)7W29Z)Ton>yuXX z$FfRWkn(|(mRqcPAp~nH*OoSf>1R16lz_kv#tu@th%gcI!sYG4o=c!UQJtbJW;=O+ z+ifOgZ3BL7O7T(BW68OOHd{}RX|P=0x;K;}ZZ~H~GWbYkOVd#ykux~)dYQ>h2g(mh z0PD8QX}e%ebNPr3*T==1F&8xQ`N=u6;kwi-@*BDbJ8AH#|Fqb{ukI6*DX_1Z)wCiGyFL}BERE1wa zfX?l;pv+fn2ab@*%mHd8LCQ*Zc+3n?`F9h%b8cRdy+v z3%vYBJnRq$bSA6&-zr1wQ@0PMj93igtR7e{c)_qQD3a++$!;xJtd7D*Khx?}Up-3Q zKbz@?`0uxu(YjY9;|y{cT>zP7KekLU9IvQoz=2N^;Qm(D+hl0_;!?pIMojR0<{DfN zRbOe)`(;mDtt$pM7lS3I?b!28TPU|$2)N+Vb8`7|ttJ@d3s58|)-qRkwM*drDr0-@VAF6yCCd$9 zjYKP}QwScBs~TWCHpWw*uRXSmd&1|hbKRrh2k>X55L9{<bIw4N49$Q;T#n8mqjsS2EGa|BN)R~-vD*DZvDoLnI}6OVo#0h64L(oq8bG0%dp zj8W9{l&!37jgw4>ROz_DlQfqoka@*T8yyb^i)Ngtz<+7<^~#VSHBRIIiqbXdj`3~P zcWtCQNV=KW|_H&c`; zg2I!u6X4Y-iyan7!WY?=b{`<8LgTXUY5|9*Yi_kpkXo*=e;oZtFaqbSI=>K&k4n?) zS~2)2j~w$v@#VCG#{osdNF8 zCvI0~H*+X6fAEkx#x}>>5N+(mJ+|QcHb_6J45biZL7y&u!gIHne1`5M@DX!`taiS~ zMur{5m}Lv9DaiaPW?FuTG7xCWt($L6n^^m$cRG12S&+c|!J(7I9qI^J%xLsAC^4lg zI~POSETn<&mgfxt)f!OLd+T&Z12RB71jc6*fDRQ9KV5VQ&E`$#hU zn(!~AVZ<5~uD=B2v&xoYY7>(dqp)zZhT($IQ`G*mm}VtF_R=9tZ|EFLIAAulxCQ^; z?LjdE`V4RNyj2D=q$u|JXJj)pHD^~p$%O9e87i4L{{Ge1P(_7b-St`VIssx&fqe0&z zy*{K56>D;fmq$h^JBFSvp$MAGxZkS*{XJ|NmTEMlnzd~}InZRL_hE!^9}?AUF^A1O z9>^(<~?%Z?a#*+=ccW9v`5nU5Y#!`>Ju^-U|axQ8b#-z@ED^t<6rK2kWo5h?YW z(*>ub;3!8;&p|Rrtv{WuXSU1s$HKVRN6xg7i0~|xd!sKt?fwMQ4UBf;uFu$v6??eL z9g4Nl6>0moiJ|C`xcWE{rXgB;dZHS>%0Ld;L&h6@)m&L>%a_2v+q#JL!%xFby&2tW z+UCr?DMQ3*79MrrHaID_yOW133b*?v3-VA3>rC#QdXx(?p6(9qsZni`;hLIoHOfqw z@+}eDise2-5JbToEn}rce*vxg-3{lGJKD4dd%*JN*~B{|$+V=43+5bBVmG(1F_CF~ zho>#vJlsT{?bIXA8Y{7}$d$WG`-q-u z`zR*BY9*!SLL(&%Kot%8U2&?YkVIXH2So6NaJ2Ztk0XAh>c+`_xi$4O>}(mmJ3y&v zZ?{r0m{CH+vT*ag`6;a}5KNjjO#-qZUE>sQ^(DuSAi zI95l}ZX@CBh;h-qvkWW@Won;Ij(=!kToJD0RBJkA+mmtw(1S5A5X+d`NAL(RcC7uVHM zu^Ko)L6yaeK3ZG}=j5N3H@pGKDDnC;WiTqK7{@Q7S*)4cj9Bw3&RfqkNtfHQbLGlC zIdFAP8G4aMeIpr?k18y-yT!F3s~t-7Te_=b6p4(R3SwBN_a^Wt0iJ^oQt;MYJjS4B zpM7>1MKtZ*SgC&UR^n%(Wm69z*E4G60^+Q#pa=e z+oiEe%e{^Pb}Wg8RBW;w>v4Xj@oHpbbmiK!@mxKd7(CBMNG;5JCkFRvsePJf1T7rL zXOlw@w+~Fdy4LrvQp)2akq#Hv0w)*q=0s}}A7${ibfwrwk{Qldi=D7Bj~{iRe*uA6 z!S@UiO&H@@+&utFu?DEd(N?cimi$EL)CWX7u|&|hC#ur%kf5B-aHLozRcnS~22b?H zUW#hbMH4Tzu=Sbi0dFD}@Mu1g$#hP>5}JPo??{oR;)y?=w>+giW0;9oLT|E-g|=*w zagS#iN$Nvel{9@hN#QV}Md6cTkc(siaC_;o+1jPc@6bYYbZ3N^njwi_R ziaYZIyH<{>leI~|Z2M}J{~fcy9W6T|SKS#De1H(_Yv7-SuZR(CaIu@f$c-8=PXjbV#E(dggS1x(Def07z~6hm_$IRdT^&t$MP;`O#^ z_CQ^Ol^LDb$E}jsbWR0eof%0CC2-|6wKOY9ZN<9ovm_XL_A#YDDc3Ovp06b$+C8XA zHn-T$M~kZ)&{FYVXWh*$-hIfrn?8%i8hAE9TpnWotjiS~Nw7=07)}GI9{6><0wn|f zfMoYzx;5PRS_{okMcu8=$c-kFuY!^TKY=0P{HxLAZx$$kqC=njg=i16h$3@u?3ZV3 zN=%5uqVSVUVW{igQXt0DZ%8V4gldWc6wr?17mq9ER)bd~E~cd6uvz(MJN=HtSNEB& zA`VWIwXdGeo4p(d$0WKEUlywGp^@jscM=>O-(gv*xwR^T2%(O6Vi{a9ZLe=tuBm$5 z*35Rcf5s)o*z#<%A(Lgw6#y2IXPAC8W%hY9WFGC(P0Et7H^}9V1J}cXrp}rXJrX|h zs!PM%DDDRJ(PGS%+Wnxc_H~>luW_j|qemETCXr!2^}Byhda#OPu$m2hvgpV5Dzwa1nOa-g!~|`Qs$k*)u3au2O)vL1N58^v zldQ)8?n{uS0~q%$C%(J_X;~(r7*Ch{{m5e*@ooq%pmA$D+|^#ov_+^wfZy$gw5&PD zz#3oYOnuQB-`j%>e!ASZS{rMNC9_jkDQH&+iE{5+NLV3C~Thpn#i0v|D)a~cBTk9$HlqU3OLoGw<4+^w zCyq1Iy+DVJa;#Ljty0kbX~Lf`_jYE%*nM$*`2v05eRDRYnXrrcMeH;5#smcJPmVt! zjHyrElnvGv$zL^b%Lsr-QF2N=V}y+Nrdj?9QwOP>pj~p`Rn7vFI10YA`Lic7nh+kP z4C=GwCin!ry4Yx43RfQsj)D1cN$p{Kt#gT5%iSnFCspB+Q9*L+HEpmO7DmV(DYL9S-4P06*#4Ic z!G1Tju3o>?{aqVGt+N3s-3ulLi9@AzL3D=i62W%Qo0kj}NwJ>g4tZ>Me+1IH)l#*s2&?apfXTPpCh&${O4}Jvfb4?C5=&Acsz8Abah*%U z(8bu}Pm$V7>rcdI>(j+q%Rp!SwXJkuprhQ9!?9peyD~v3hqLM3LMz1?ux>zXp=R#t zjtND?)eM)x;xm=2kNN6&S_Kf%;ppor*8?TZ*hBKd@M%tR)dYKeY-!p(eCAWFKMLEI zb|iCXHaNcoTO1{8S-FL$1Hyc&m%#2|#ZKRZ2C40B*W5p@ZsrEd{ry1Bk+f}x;{%MH zbM$$5#$1H<5XX)*UR%fIBP@EoOL?0$=fM67cVEF&A7LvomuEvxu9BSRe^$&4xI#)6 z9=qLViD_vCR0V~q<&y<7W;13i3Z-&F5)!DASy2lM>ZYcq6=y*Dp9ECaJN>m*wvQ-Z z_BLo?cPdREy2CO7U2u`Dy?3M}u6rHhuNlse>lHkk!~kIH`E90CxLZOIc&fGt&!F+R zbQtyU$cS225o`Jw|JA+17~wU*DDU|huH%p6-lR_Yek^@lWeXau;k*d3-4LFk+OXcy zOrVnv{&mZvGp_NrL|^-^8&i$+>tl84HnC(bW`2$1sL*e4%T0f1E@)yDQzNHn)?iF= zw2>$p7KXgLt9-?<^k))XvE|bk1QqLFYo1t=sw0v2QjxFs0NbCB4%#ymH5fOdyUZ%@ z7UAb;fDiI@OmlVS$%BW3$}f%0bV-uQ$w~cU-M%mk>cmu^l&MzdD<6wJ)kAjfOh=zN zgx7&atiKcBI4MVq?Jr~=8Yts8C)>aJQyo@aC+YgoaOi3s<%kVQvN-O*2xwljCQ@*m zZ{}a=vZ@~%OAM8tZ(QsWTlqS)arQd1S5^*Px$g}KfDY&)Uhp$B5+j;%yt&IA+FSci zB{v$mB5DsLc$rlBut!Eksp@^kcR{T;oT=A&S{2kp;?wU@ED)1#{cgH0JA9!ILzYI<~K(i{~p0{Vpcn9KSeK_h3D4n?VSh8iiNcFJ%saRFVvvHT60}Is;`6j_ z?_E-a+q?pEUn5jc2n(n;T!9^u876@A0Iq%x!Uh;9h>jXPy-* z@0KiI9r7zj0Yh)NAkU-i?KU1Hfr@tqy=?Eq2U+*nlINVX@TZ%+%@T${ySV7j3PrU zNeOg7Evl(bh}U;ks2ARn^W3tnS^}*pm!DoDzbJCHZ0Qk#A|Rh{0F(^QDb;!q&L zd3Mdpt|l_p=(=heQ)hp0Bv7iWe%KlE0hBpVq+{9h&&o6RKP%MIrX$gy?BwlzO%`53 zpUe2%%^7jrfaIb9t4XARG4DLp_(+R;;6WwO-k!Q#;5qt0muS_dHK^aLf1d4)K#0dF zl)n_ht3>dZZ8qk0Y-w<%7us+2nw>^#)yo#}!G`VpK34qY-Vi>*#cwX09a%rE`&I#J z;B+OsXWo#!o84ktzHjkVa5O4CT?6E7_>VUv#)f2nH(eC2vxrTGi3F2e@@8e$pk!63 zo&lzWAhf1SQ|B34Qgu`cD_yYeb9WB$)mg?@TBe@$yhhULQHi~Pfa|s& zJ_nf+I#Xy40d)v{Nf$KGPI|`?D-$KK z<=t2M=Ch#(Nkm6`&n61V*}=WMy22DiMm|Sn72Dsaih*z?&+0zq#h7Ya^>J=YY8ScV z+focWe~R4LtT~If#7Z_}8%vdh|6lSs@m}-VN>iS}Bwv9vt z->Jf!wa70iF)EvWo2Nk(Q1rlH`eF9E%}%=)^umy@$_*}T+1WavuZc-GhEE=ZbTzfY z{`u*`yFE^EKS|NhR9CMg;tN`T3-wRqZxH^v-f`?OPoSOgoI%=(@Mv*WVdbOwgnQjg zNN=MazuKZmQ6?yP-iZGgg+&qVJ^-=kIiyWgjnMS{G0L@9H!dUL&>=O(L$0aWRP`T1 zgT_rk@c&9+I7Fe!ZC3mKjyR&5Hc^eW1r^1`4j(pvdt2lcazW(wqacIJyD+}=_}+*T z=y}Jn9AU7*$dWZ2K7QT-Jj>2?WD_PBe0bbql=D;60%;_Be3s!JyRyfOq)^1k7BMK%M8<#S&UwYQ9~RTC(NBXQ=VETR z+V;27Lm6pC00-;ssE7+^dvNKNKl(L5!x^m?fKq)4Q|~De2Oz$p%tkqXBV?%9ne1nKl&JT5Nm5MpdKj0D-^UlZFkeWy59U7CyVmv)1XllmZZ4W+iHqw<*@;wa^00ma3j*J`a=^d}?-j~Z-`%#KIJ#`?~h5V5c%uB@nAEL@pG|6!escnQ7<%$%%F zBMqiIUFW*%~6VvM!ZSe2P$uUGpr`&Jm5lQN_f zkvS^OzfW<)ntxL+4#NY=Y-!;&`n>`9%;eMTtLOWxh=3f9!NoYGWP-Ke_M4KVCBNtv z<_@M{&&`Df4P;w9S2-VQ>?*BJdf%`cpE3TaCbaZLLG5Q^Nqf<^CjS z3z=bxQ<3XDB69D~w6-9M@($*L$oC|#NT1wHoD| zf6$88V=ed3v7kMyzRFmA%dy|0P19Fb5sBl$>QMDL)z<5F%&)jnlGjdBDLy$9^x$oWn7G z>9lfNKE2SWP<3)Juy#kIFzhd<742cdvymjt^*3+`7H`96fBR9HRvK4Snj2d)*T`&) zl&l6T3%^_XvKs%D@PevqcMO_GO0&nv+yn3lh(#+iW!INDk$;NGXZ*u>Q1c~{pgnwk z&erBYH+K)Epp;ayn<<|FknI#^7myqN>=3fPKvkoC+H~$!rd+-3){*#oZ+W>WYfX(I zWw3|N`54|+Qh^~oIR&p9DM9|ouF4#-j!Qss1R+R z3be~S#4u-D>%fqWRsdqJ3gtJOFRQpRZm-qL=F|;5k6bcNc(<#22;k&%)aF})alt4Q ziXsw3a<+{C8*DWEM`?@!Zh3Z)7!PWZrrsfCnD@FtV zP({Yzl~8lm-UJ*AR3j+(9rJ)bH{FN3v#THfNfSXhqPH}`9PUZ_;ug@FRM59MU*D1q1JQ8V z`abDaeVRB-K~u3=l6m=E{Zjsv|87?YiQY1 zNspyY;XrfHNM5~5L_z;wZ^?{xJ{bd(+Zf2Z-fJyfj@(S2^=DbkMk!k({-5RDKheHo z#U_#ldj6EZBD~XHKECyIS#<67aD;n>8?LwNCEmoj?VA-{^~m`Yv%1h1{Ekx3J@b6x?vCx8kVNy7XWRFrfB#%+1AeD>w zG1B$@pY&|>CygN0k^i57B>>tT+D5+*^}oWL<-gok zV$t8wrJ`C=dw-?k$NO`EK7vBu;;a8T1BFqkPeGhVPU$fB6_gZN24X6uD&f4NG79>} z#Q#K0d+8zKLJ|r7lhP`9QXkTa*LO*JfrP z6XD|ka!3^#db;C~Nf3mjXb^V@-2y2)-i zJ$9d%fmY9>LD}*WLCF8pUzy(+=EleINV3(@k@m=d60lpAyg>DGZCoHQs8w#8UuD%t z&D>|8djq|@R`5W7(X4lxlB!zR(v%_8mYO53)}8)nE5p?mOk3!0Lgw7W#Sx(;*|76) z`px5!00oNuud5)E#}nf4_>OsIy*YuS*))pn@~rqJ*#YYQXUolv@L$6Vfa|>gXr=Sc zUEw=d56oMe9=@|}wXuPJkWu^tMC%+}+R4));-uHbPJpLj40u%X&|$bCXj_t28@#~r zm*kYrv()OQ`Z|ORQSy49&>2jX76%4LPijf8H1Xe|GjdCpl!{bR`q#4(#;tZNRd-fD z@uY5_ZG1k()623oZ;}SufY~h6(ImS5Xrq;3ynFPGysVUp2JD97 zl1+He3DhE6E0>c0nQj7g%rI53TWz;!@|7*4jcppl5r=~GwJ0BgSItq{Vr4YlYXT!* zU;xvq_qXrek$=O7L+;tGWJb6S5TAcPoT+T`cH7TC0mOj;`X8yu~B*e zrPkO|G#BH)yfeM%KXO-A*&fi@;2rd6Xw1>ssu43w@wn7YSGkLQVG~06mLA>_X%JoJ zJx1bp?GJ|4|fRy4V{NC0QG zWs5%D*(q0!_&OGQQZ(Y?j#MMMN*j8Q;`#ff$%mEm)v?@OA@N-gD71f@_d(>@8>=}) z1l`lgKx3iRmWa0hQ>g`|bZ`YnW4(bg2yWTlZwejV7&af*7)ysMGfQj9SVtM=c5pRE zVl&0AszMe2PAqGPay>908YG4DPw7(C+hJu321zLt(CsTPAx2AXL$1O)xFLG&iY%}-0@zVFSN{xAN1dAPTvmfq@`nm3Qu*TTEmwqX0)FWKtP zb`o3L89f7_%oEV{K#=VZg5;7?6m-C7&p#pahK4FYw`B)tj_=H~Bv^6^Im1Z= zk60k@&f!qjXlwh^Zdp{G>9O7R8=sml8)BRKa z&{F@k7BIaK@z}92P&ET9>mX4u-**w_wyjmFuL>VE+;yYL$?3_p3@ihvtWsvA)u*a^ zt*o}P9LgvZ4jwx-U>qe7>Cj9;VnKOIY(}g{#Co_eA(TuvJ!fl)kOCwQBK*=( z!+s7LjHdh@im$XGs=UmRe;0)^fOjRy!g#CwgV3=7 zyHl`4O?awH4NQ);jRt!2dQNxe<85vS!t z0g;vv2!Z#8)ER9cKzi4TbQxJ58#u-S-A79tR+M?#s}_QVzeDvaIJ(nau$C{xTH~wz z8d9~rR!>U#6+`?j)#s{`zYW{^X>xgfE1!cIbli{(btc;-V?Ey;?sp!GQLg z&+^%#J~fzM5uKhHp)XSxr7*W0C9+&TGssp{O^64Yq$I=_Et)vgG_TtHlB-Zn5Iy~U zN|EDb#sC09WqFOjlK%BND~P;`0_{ZrbQ=pyo*Z}U0JvUS=n@^aLzwNSI2=4rNhp3K zaPKPF-Oc9{1eJ1n)cqensFh0)Hby-u4F}A_RnRehNlSAr)ra<(N=?qS?96&i!e|lb zSXriwBM{P(1_*_Ne{th=l?C2vb06dO`g$$~H#@_^Fu+_1)8>z2Cq4qN1{1pV)Gq8uPJH z<iNB=5<%K#dI1X=$xj!}IO(m=}LBnCTqh#0=;`!$;#v^zYTl931KNTCo)14Kv0GQvk5CRJ)m zI;Q?OXV}yBo#9DQ!SWH&p(myji%{;skmStx0fn588iGBm`loy03E3;xn@Gp{IH5tH zqR#Y2!vF33yw{vapxY%41a2}K6 z!_3v=EiBJ|;B zbUxJ3JoN;xvj1JE_=l`pi3DJ#O=A2ke;NkYJzjx)W9gcK7om^(Dlf~d@$1K1*LKKd zy{Ug}f&BNmbOL~~?apLdLeR@3kL~RU2OMO534g&?JSFaCC5s^-GG2T?G!O~VSEBjx$00}K57KB9W)>Dg*lOMyPhZm|j zyumrz_4M2;((FM=TEx*%2{=2)RSKLInWN7H9eaXwG@|3|Rds~OHo?Gk&sbCn?cOii zy$Wo8AaaWM7rYUg2oIBoJ;GJ3A8|*guVzRB_E61t`^Yh78Txej8k*aMEN!ukecJvS z9@6dZo1>ue2U=v$&D@)pYv(;~%m=me(y8D{DaP&nnDIqt?lWugPYyWag}2$tV7A)k zn2NUpDu(z56Ra+C*L_>-eo&c*A;!BOJtW3jJikHPHcJz+CT;kL8Buo6=V?ocHIrjt zG8)0+aPap>DE)B;jcc^2nnp0bco9q#c3Bs6+A8HCkdrM8A5rqPlKe77e;=UGA( zGIluYu|Mmyb|)3R7p1^e3?r?U3I)YQEUL_jvrJes3=yLBhF!6x{vQ3@`l-WNDBm{a zk6<1tD)Y&pba%&@ohAi4| zI=P9;=Jec}{$mNU(V!<~?BXQo?e4*zL@}sncr@XHmiZ(LOlfAhxQAQBM{e&a7NU^-{O( zVR{4BdLOw9+f+seLqGuirC4~`VbbXYj8iw!M@91GlTpMwS@ z#jNgCOlf~iu(2iUX4yAd3`M=ckJ1R=3hk*JbL8;r_09@j3ePZ2f5vD{Uu+7(YbpVP zmRn!0i6ilI3r8$APPcrr2TyvAmd+99A+3Yl4_&Y%2R6g+ZzH?=j%8#j6kd(PD?l5d ztu1t`^F>z(J&XW(2&()7aA_N%qt2%xf^1#-k_YV;EA>1KL>H}Ac3~4Y+_=f68PPwD;_x3qp*OBd!q@u=>NF1 zQBhV)d!HL5|K5uQFGTa(D1i#r1ft^`G)9{y%glkrajimkvL)Wr#Y0MSsB$=P`uO z7ce9A_VBp#pV{gY2AsRv+?LYZGEiVFH;V6K4K@19iN}lita; zRvEt$Xs0XK!=(TNCW0V~SI2fPgJHOcnUk|Cqa%~MM+n)n(a+yV^mcgstTR?Kxw~;B z2%yie2nt0}T-sVAYJ`v?wBoKDtGV5m1ycgn29BT6uA-Y<*;)K*ck0qHyt;}ipr%;! z*`r@P1ixZ$onAhL;_J&@0N+PL_D$~c(^fh&8tk{)W3$>u^qAKew^?96lf3d_YQvv) ziopoSUT%T6*56Y?@!*W*^3>|iwFVZRK!t-`G zB-vegRK4hIFw`7KthDt9{eB>O=kqb8i_GR~K#xLr5Tm0KtMo z0tAA)TL|v%?oQ(_Aq2PJZo%E%J-EATqm64L(~!LHy)||3RLx9%Q{OIr^f{cpd+YP8 zwf58d^d45$du`4ne;yay9o`t>z65EzyW9n9Uwib@-lg4mq$Z=J-TU&pa!r8nhl1W$ z&U)T5scV&XClX3WB;?*_#cb?c?l?`R9y1CLf3maPcPA(^?4}EHbyo%+IX5degPpUa zxa?YIxkCvurKzRc1a|NV%b9gK#R*H+bxfk7&S?FL(A0zLg~ys6ACihx zpedE0C`LS(h~L{>tpECyZbNm86!xOH{p5nP@9^cN5IlNV+F8G z(Kq%o7DP(7&7#V8j3#*8=C1lDfDRvNhwds{=+^h9HH0dr+Xc&UCL>bP!juH-ojM zf7a*qIypQ@O}kBQmShZxI(yMKj(eZuQ{C;noo9jMNs_i15Z=; zqldv=^37#c)-QrG6<9_0g`(|;UbGyuJtZ0&*wUqWY_FMBVB z#y!tjA&7`hpPFoB;p6C(lQ+%!NMIk?D6pe8=zSr)o6>vOpE347DsyD?zS^y`x}eDt zV+|xEhgur5J2ORBsEsXwTo5r&V>?7W^d7j%5xac5FuZhn(uDseLOzcVG^dsrAmUMmyTE2|ol z5^NSJv&DF5cnzYHdy*CLO}%DF*|@G>1fW&0xp5^RtWc}G2n;%3-3;URMS`atKEY3G zJ-gWMuccd$HQ@08AQl2W+HYt&cd+y*>=y>&y1ifBo65HGTp-YdavUG{DHO%v#vRi? zGlZVq$BY!a&^E2V!$W{h^ zFdNfD$&0ANR&n$imr|{d7;s_>d#x9nCc(FE(CmTHncv{TA4zZQ|6sD{Q@=i*deqso z=bgEU6Sy08Q8kEl^zVO|4{O3r^WyD85O<8S@GoLizqyT) zB$+F{yB5@Yle09+N%uZn?>v{(m1jCJJ+fq^9Mxgv1&hT}|Md5PBi_^Ti9QW{=f-r?LFEoY76iRx}swPRXS1h@Zzsmp&L@de?gmySjCQ zf+-)uw!TOV#s}X-h=1lSAs2=qhvE9ckvBB^c8x@1=D*o0H27KgXLvm~sxXA|r3mTfHoHsicHwPk=?$yEu#(qeLBF_k8ws}Z z>ZFGOS>WI_`n(+feUJXiI?CB2+bajVy@dauhf+5Yg%l-4FxJTQ^!j3(17DxeOMGc5 z0K#t*0d@p#`7Wx$vNi6d#_O?fNn;)GlvN>Usn(=ZQ*qOd623p&yg$OOKOFM+{6TtG z<_RffZ`X&ZWEE+;97$;S9b_eWbv1`UARSbcK5DB)K@r_h#EdorjeGK}h6DZpbs2_YFopVp1wf&(-R zDf=Fz*O;5SCh<0$@B1l5LCiZi9^C96h^DfhL5Z2D=@0mG%iEK-?AM4>pM zXkzpJsU{ea5cKmuvs007on+&y+!1Tx36U3fcdrY^e`wK`ZWKZP{4YuChy+^?{ClT2 z*?sayr?!u{0mW$i)fAH0EgW-Ox9656!LJk=UB8+c*`_lC7>8)&*t0?OwX}A%v`=s^SwvH`E4RzMf`h+Gs`PB)upihB7={*sjvD zlJMn@>`I1Qk50UX?B~z2V2q8VbXb84V7a!dy~Hd6d5fK)jSioe8h81_c*fbZ+2Z36V9;!NcK0UmX|BzUK?qAe z)OQokQ!Dp}dlFizImrtAieZbbeQA~m12{J|BGH<6ILw9Kt=JZ1UPho_9tP0{uXA+f z5u0K3Pd*EInf(Il7sL1OCb0ftz3!L&L=O@*Q8wpf%ZW7!$DE*FEyy~E8J-@54{9g4 zxraK^8YABgduanla5NAfAs+KSFY|ZtGxt@mt*Bl-1&24;H`88MQ}tE8f5I!MS&+3) z0fpAiD!tJ-r#X8A@klUtuPsN@*c%z>6WY`~pa2h42*!`X^ zcj`Q1D`Ma|LU&eVO`w;JFLNUF+4h-r&;BAh%9m_c@Q==4}>Zz9B{j@h_9JJEJ#R9ae~WUqcg_^Hr?_14$1-Onbi!bRgDmkhX&!*K7(A;{~0*rDTr625G5X*T&iXiWW9APxT@e=yDIW zsC%=}nYm2)k3#ydR7yA_wukU`2-2I+>3`v6WNEMs@xRPXP=QM72P2jZZgxFlq$8H~ zT@m}X#=(fcUD9IOz`sqsTa2cpl|5ggpwyEt9;~|L)@q}vz}r*pf9Q`bv9upD4O5CX z`@H3|tb9}F9$x&pS|*F=pX`{!zVCOyjz=V1T&F>}m`@`OnU=rmv%Z8EsUZ?-w^juF z)krrJ6-|(DZziM1!yp=xn9qM`rA)z%5X=6XpUyAnjmzWw~^|4z>U%9Et^`)ggL4xSl zOK{D}^Nns~p@}uC?q)%qX@Xsv*Q4u%!SS&ds1vtLYwew>Om+A0Ij#3E4=FGX-Q=RW zVGwjq%JfdkbUMEK&q?J_Ltb-NEU?n}Ep&UUryYmMQhK!xG7X;X;bf`w{de(9 zB(BDf80K3&pIo(`*O%=RD6yT&uDn{&j*vNeKR<=o-bo8Z7>uFqHF5wDE&e!Jdeo^+ z>A7)UhSd={U8yr?!(fJa#isNNq}~SEtB!krMM~)%(Xt9T(@jd`sbfoCK%g0sa!ShP zwICP0`|Cz2L!Hy0biuS4XP`F@J7LE|74{?Q5{N)5SSTuAzLDKhO>ott;99r>Rv|;^ zTP1aJ$un(Z$a>M7T%ee{?7KgRe@{gO>hRBz_UPNr#RIG~y2(1UzX|khlQ1B8d6G2eu zXE)by&5S?Rfq66eRNfWh@uKxxT3R5c==UoKL|y!a=%g4iQcUtM+9v-CyR$bUU)-PP zqd{JD8!bphG!yc>U`i}2WpZ*7)l@i(MB|2a-v;Ay!R3PMU#;%n56?=RhFrRFma$Bx zlI5V0cp%;vfzyRrH!_|U&%{CLrvVT+CASY~oU>>*b9fv(YODcdTKNbW;`=?#&?j}w z4sy_vpRAgVM#L#JHq*ZR-y1LDa;1>)H)`)CDuqpM5Ff-Y@d z%u1cLzB)P)x7PN%Dhe}J?IkwkkJEoHGXRZ-G>~!8mOkP0D28g62czT3LqN-0&wDQt z>};&cd#c9iqP5yqxw1yShpOm@NN^(a^Og(>d2;YV5p<2d`~8*f;KGqfArwb5Q&L3v z@+X4ijk=H*;k0)G{mX>$yhq|n08Swa9r%DOtAW(FxUQ6Aq=X#>f+!fE@b1sudgLSC zA>&*nb%>gN&vHCb2^;ySo$KRZdlf#t_a3=pThB>a&e`RjHOS2f%7GiYk}RgsO^(CR z7(_4eDs{kfSwyY(9B5SjaTWIVE&i7jF{8+EkS@6I!yOf@O2PQX+{{iyY4Nzu=8d}V ze0BD!xl*gwvtcDqjuKtBea{77yc913#c)Hpj7jA^X*L^e)B;6*$KmjS*lBT)l98~F zW}cp{d7Yi$J~vdiGL^)-Pu;!Fk<~wH9GVe&jCyDM>f>!qU>9SpRiD^qEiLf4y>;eH znc-T&l<$hKbH~f$^Yo(3n7gV~YvP58Ce!=#jm3pYGGiZ>#pFiI?T$`0FiNzlV7I``0oXvo?MC5Q_S2333 zORmCobQY|+Dtv&mUfRYTU|crWWhnDcdk#%;5k8zKTbeo=mC#tG2aju-Ml@P|C5$Y` z1p+>tsh>d0^9?-BFUSv=3&S9KE+pA>b(7e^fKn78NQOc zG1q?~G^I6(%r z8r%Ep@Zi>whEO8m`=dJTL}8+TXFn+*Irb-3hw5<6k#dwwEhDr0oA>9KZWWb}S(Qf< znAfe+Qe)S{{w_H#Y}1ts*Uq=VyAx%G8r<*nbMkD*!d$%_V7l!S*lXhyQqMWC`@?Oa zLQukBiemA%gm1U3c1Js)A1~uY=gzd3JpiPoelr^vjhSWJeM#PYJ?*9O9Bvpq+`@F_ znu=7wFq?7Cx8fhM<80gL+6?;d5#G`zNEGxu``9d%WMrGxthV3ssklOpd@o)y?TEk( z*n7^|Cu>a==nS!S05~V|CJV4*sOJQ8l@0VuT4@?*8g3jy;R$%Zvj?{TzZ7~3q&4aLuAe6A{jMg^S~z zq?yr4@6WlSIafc(yWaI+n=(ssH5H^pE`G7KZX4%3)}&1?Prd$~V#AZ$T(pUn+#TmC zss2uVfe@`aKdssO&H3qG#}V6AM&?SEXXi5*l4I-T-hqWg0SCi#&PiiqzOje-P)4qa z>qcj}B_w6vno=geaJ>=DJEW%E*~#XR(T?z{q8?RpL7*LOfz5hZs_TT8{imt5>#+6h zP@h-VH)7*HrUD&uxnzPLwS*m$N>^oW6C+fQCveN|d-_BiVVm{6RwRq|8Ll04ZyCY> z#2-8TXIYp}K7!pp%XHxiJ$Srr+f?^0cqqFUU{Do$O<-Vs=cRr8kXsnQYaglLe$w|c zQwk@+g#f zDGZX1QZMZU#t^DH6(O)%X%9fJkiIQiQ(V2>4~A(TB1O~bEyHCOEQgcylUU8Ty)pKw zy;klSFjcfOO(q?Aw^vgi)nMs5>bAx7z_Vd##A@DQ$BG`%ZPXbCUr0V;;_dF#%YfGf z=;?gx*ofar_nWIOx=+6K!&werh&x+sf2yd3JJ^t?Ixo}Q=U0%va)WMA(KDbkktO%eCsQo~&uy|cBWrfIfc>>EA$x-n?@ zvC2lgpe#@yZusY1eGF#dyCs zd~WSC4C`L8jpXZ4k^ql~9kg(@Qz_J?baFcbG0zshWK&a}Xiq7b(b=T2*(#n(n6-QMceYs)x(Bp+wwl)i>tb zk5;)4>FRmg&?-rGSJW|qU@MAJ=X2n6j^c53%GxNsbjkjFkToW&&j2C=_nNp74Y%+R z=|uq2iSJqO>}99k~3hEeyav=7a zXE{Ijhzl;P^N$&_WZD~0a9TiJ{d>MxCZyzn@5wG5Z=;Z7?mn=4GUHwe+B9o(@mkfO zX=XCC^k6?Mdc5cAgg<67eQp0LSG)At!?LYcomXQrh-y5zjGk4zo7*K&bH5>Ngm9sGj1&&Gig`uvqL|&uzp?wXGRnpgpBCwnEFptO zC8Xo3y^{l9dU3}@smdR8 zWX&V0A^S#%*ardzMxBLv?VarO z#{hKk4bq{04hV65f&%&etW`mK{sI&mLMbB~T4di+ zS*i6W+5t&6!=lKprhYaqZ~vMJA~`;d=8vFV&Uj{fD&9%YFsH{->h^6)^AedS{o^@d zbWJ6Z3?J}s?cgYczircN;E=D!X7_sT5J)^hW1$mb19MO#>ELR~K~KqWa~dL3&tHX? z;-x>#2qf5KL`!b=+!nxbl`>pYl?-IKJYBwDs&kuX8MmzwUtx{|H!OA!B+1h;ARmwM zFYVl%8p$ET5bwXm-RA1eyg$mai?gx^*^-4f*HXHz6h&FY^F|1}I%Ps`FVgQ24yw8( z54zK%JM^s|SHLfN0gLB)cRdNirNLo8&MpT`#Dilawf|K2jeM8+XmkEl33Tk1`t^pu z`|>HOS=5a=YxLnRz=nVl)f5#AYAs+pUYLqszljzE*hB|kRvxG{df$KaaCWs2?-2RO zO@&$*A$GS&=CVymYemQsAxqEVNR`r8gh~324v2Uw#JRhfTlT$IK<9w^t?MmP#|x_D zh5C?$%4Hor0jf$+s3U{Hmp|3XIzV@cWNU>z%s0LzGv64omYxzLIa2BL#(B1;wi?;C8Y72*g%hoYueTRdEjQNb&cz9|*}@QEkkvv` z`;Yy!T#!sUP~U|ar&=PwpGLAVUU>~)iw5`YaQz??W+Lh!4y*=*0DTZ_&^d+w%v~a7 zO?r@z73nWYOtY5SfKMwfWvrPWGxvSRKQyCUvbL_N6Q^#f-J8hICCi5Z(WiwTK7z1r z5bVvJsm5YUMQ3BAOlCN)-yf_w%BAD>WZUH6FAhTMVR59xu?i%5D}?#0tWy0GR@Y^T zk@*GAPQbij#w9L+kh-^46R(_y)E(C`)1`-GyOd!<$H2T}kX_KaMeFo?^ERdz%d0Ab zFX69KH8=v#oz^Zapza#H^VFS5cN6MCZ6~9;zg91>FBUEnrT~x3 zwiOZl;S(q}+TD%F4dfx0&54Z0do)&c#n7Hut1CYVSg%h8=5Nof(7tbV92{cypDdPu z?!sx0Gfp~7kL5=3wmr^hXleRhy1xdDN5R(Cd>r3>wexD>ML3Sr*x*}lj(J;@u!@9sUBJI=d1rF6Nfe8*ID#Yis4Bjj26k`n zbxErJG5Tv|qH0HZl8vUM(V)4{KOU4BKkX6S>G9WeEJ~L9)ivS@*Q)-tSmc0y2XC!e zD$w7-+mbyg;d5eTj~aW@haf51NeXh~v18`<4e_66F0?Mg))Ue7=HHw#wjN2$ zOHUz}Pl+Pv6ml723(*t)Bs)lH;*22!`gQUiR??t3-~;G?(FKfC}CXQm2fLIO}c3dbMsl z^7+q0UCkQJ=A*^3i_E&PPKK;KiUp%f#vzRnZec>+$Qc*T@PSPl?P0_m;>>Jc2itU^#v ze!5=0i7l*p(Qd!}09HmyV?~kSjxF2xq5_iHK5*qW9NnZ;Ll@aaj@dDLP3f|K{qV-*)eyN{rFsJ!-}_a~;!l>PfFNm*4XW~4`#A9={wV>m ztAGwPPIvrV#lx!>ZMW#gUj^(`2KbTyXKl|LM35xD^ITkKn3sp$W4h6S~x`w9ewX|mW&PDkDp+9Qd)br27}^p@+c@&%8{V=s?VjzCMQ zyy!S#N*?^CB?3A5>|q3(*OVSR#s7FSKuExur2I1U#Qmq;V#rJ2K0^3J$kYqJp|(^z zk9v5R&Qvn#`UMY-Pv3dPVMH`kPMrd0n(*Dx4)|h`oEa`#bZ|Pe91st3qb3Sd)Pnk^?caDsy~y1V;)^@=?T% zakdF0t8FOyxA>zzxI{x=D0=y+tXbUDmG==sCw^;Iq@BG62q1=+&Q`c0lS|?l^(K=c z`5(?o9q;eK$Ydjr@%V22VE_Upirt?_+wsN{K@Q7?+rk5o_d5$0g~PA-Et#a!2i_D8 zGGWan(%DIk{NFpnc?M>OoY5pd!5f<7N;n1Rt8}X*kFEa1IX@= zkBU|d-bFhE@p@^r`JXITdF(0uD&FJ!vk4Rt9OOi3FOPNT#%w%1skvya4s%D>*7}j# z>Ublr`eJv9^rd*}#}#(ox;olANQ9lIZ`}87x}zi~7aLL1Ret9v$lgaaVuTd!m)3KF z4FYD+_6E~$Rio}t8=0D>*{&s4w%lZTZj+Ui-IHro#a~n3a!Uq#3Wjd1H;7Lsxd-g>1eq=gv(NT+ z-*&8@o3-V=5f&F{(Vw}l=>xK|CC&WDgC8Yp_<$aKOC!#N|M<_WCOeh%1&f;Tkd2DjgBl2v z`Rhk1w=0nT!eD{q^K}0oKVppV;y%&S=WBG4N6zfZlR-8lpQpGD|JEJO`RRY;+`45k zZ}_X(2PmL#fmzJ4wn(J@tOpbzq_j9Athiq5+S^6XFiUN(L7e^Kw;+^IVTdsQ$I5K= zOyRDlw)m*>_nz_}0-diO=TY66w}YK(KZ5=`;QhN$?^;22?9{&~B68)wq(O`RHfsQ< zV2MAiU0j%Igk8ev)wl2)J|_;J!6(JExCnjJ!=YB z%2-`(*bdvx<>3I0m^5!a7IZF5;FlYQYL>FtBl+dkJbin?BgE zZw>Fw^$_lG;q;5>&QBv7s}$$WDdO}QqNA&jI^MWmws+ZtaokQ-S0YN{O&Ba|seLNV zmFt*^(ep0&>~irUZ1@wH(?lQ|l<-HP3z3C5L@Ru}D2nod(H)h!Pg?~Bd;?puF#c@Mkv`UYH z<%Zw`8Obsz1fV00{&o>-JQm78ky~c|r0Ywsqhl;Itu+2#Cn0(B3H#;wcf)O7m)T*t z-nvdt;jFg?e5{Munfs%;14nd}yWq$ToL@BM+1@%Uf;Fhh@UJKM}s@w6Y| zj|N>M^<&warYqmX4%`X;futhcN}HnVcQ{qKsk>=Kp}d{kij)ye`iS6@Z$A40(tDCq zt9BOJ&B%5sz4=E;^3le%_T^Xaj!UK^Yi3xx$*$M}3vYCGQTC8r!cn-1%Ai8^1}#({ zU#ygJ_iM^d;k~a8b0zU8sLj#8NQNsP%PLlDj}CPc1z`&QWDj8J>=`%xEs!HYFRKqG zC4#++;;H7UT;OaBMCMtM-dYA6FWz6Az?x_ww|4rtT=`JDJN2%{G+vccABbLMi|+5u zb+do_6yIZ6*f~gjAWomRr5$2D=-pLQ0NdJQ_hBkMEH_`p`0s+A@%w+pEun-c;9IT8 zUNPd`ClESaWjpd~OMKHEIlQ9%2{X~%#KwV%#|5YbvP9UqM|?e4YGz#7P;=OektHE= z<$e;4qJ8xi;g%!>l4vH~0Vv`vXF32OexVtJY(7`w1Au2|tq@mV_3c|rxGP15@b{HA-x9mGv2^aR={tsvU*#K|F$YVD^AhePPs ztx-5UY+P~T!`N+(-?fzqzfpJuT#|c(2gH4+@=Sx>!$)#+qW^O%(06pgOeC*3ju_4| zx2|h~iKlyi06MLhM&i5f6pe5~EF|OEYvVWov@Y#hXTiKlFVq;)g|cTx;RmZFMg%UtGPUy}o_{iHf^>L;Gq-cbWC*bUjj{ z&HtE`+tk_|mncQ+o9FI*54YLk&>685qsJIt>ZA0f@3B(rJG1K2A8@7zU_H_m!zwaR zi;6q_hbrz)f;-mmLC>V@rQEUB222OImO+Cf)uzf#htwoMFJ%+GX0OCnMiPJJ$VgxP zq{(yuMPVGB;hkQa#FvX$$gjzCVXFqBa3j8&h?Li%H4{8JXtA^ng7dFw%xH_cFSH$g zH--{Yr)qRnd>h9*we(mn}3@mk)#{8fng)SAJm<)bMYBs zJmhYJn7fvi*cyfsMO?C8h%5$bg+JMp>{1QP9bZT!kert3f2yVSza(GbC5{{wNT5_0 z;>jb%ftxt?SG4Y-$-G1u-v4QYc>G^A3>i@lvC#w%Sy5vCqr}$f(dpwjQZ5>1^7 zM-G9rmlwT7<;(r61Y^$84qWvIeFxW<`VUEC3Bs;3U4=y(e_%+2I9qZzx6}2tA+70x zi<^uSD6z^8eeAE+9MVS!|Kp$+L-$?*gn)DZ*;7H-m9{(20uOh$7FAH|F`HPcmS$4 zxRB}JCk6ihBLOV1H@hGh+AtyBC~paYazm0JM;0$ z>altsq8A!V8G-he@WdoKp_&SqHZJ{pPk&2BV3xX!u=N)p=P+KawAx-b1%BHr|A7zJ zVe6+F<$A%&TbL93>?wULGC7eBw z@K>-UizO#q%=y`19_QjXQepifhD&aw8Lif^)ex~dgAqO0D~zBkb3?Yv=#%QCbXD+D z#q}ItV{0+B0N%nBy4bqklQl8!i-xIgAFGW}s3bpdB==fX{~u}{uy|NlS(R=OM5Y=4 z+rh&hZKjEVB(+P6_jTnO1uPuUN}6i(W`2@$_T7G>qy<}|=>4A3Di?VAurpwfKl2M? z;aRg#khSNZWq_d&apVJuQ!v^K61#aS-&xXU{X$ZT^0SaK#^uc=`@%vm- z9@;dQlaa>XsoEOV#OJkE(9;$-NJ_SSvW4?FH@St*1KM6N1<_X;-XplTUVe5GRJ2o> zKWvB&-bt+OWK5hZ`&8g zoLuqByw{08E`9P0Deg3`y)HpqJOz868`pNm75-&EEWUK$e9(>fL=;>l^ZCQ~4|N%N z7}Y_ICi&A1>2;ZU)iUp(F)+H#P+plAXMebRhZ*z%Mc`8K<=0u%$iYGGR$e30gd&oN zHWJTeQ?c!3&MVK6z9y~1C_7L+h@Tfh=}swviW%zr+Aga?`ko`!fQ-9 z-NOeSi*Z42kD0^yp3KrxcfNs^zUdt!n~E^^Y}N-C8BzV5U1{Yixp)!>(~``AShLt8 zCtX7IOy%XJl51Ic=3O;yTm*1cBy;q{W#qykEX>pxyWoJ*(L{W-k?s3~={Q{J&oxd? zpMFH2VdtlNU_5%mKtYmOsTi^-2d=}^w`rluSity+w`TQLC3OL-PSNngo+b4{bf$Mo zt=Wx`dj3X3UW9yPqb-nnHZ32YCevr4$8@J^9gK6pP88RVyt9=o%UhCMngDnZ-ghLB z3Hyby6)6FBcL^a8w`JlI-Z;98QR2M|Y>{jbn4mFJz1}JRo;GbR?itN-Wu}F$k%Bmt zFKuUf>uNbbZ>CxLW{Yi)@;=#UJW=AXpIHpCvOwDnJNY7Vic3h%Yc<}YyYFF_^YC0? zzX&8saRh*V1)h$v+8D{pAPR$BVr~-8BLJTx+%uU)qgJ*|CBo46?l`3MDUi0Kli6_p_tFTn9231wrfvQUZyU@OXm`>gb z%b=W$I9E+){P2*kQ-@*X4fXu1Xbsft`l#qe6e>%NAt?4UVNMrqo3)B`$3l`#*_o*P z;U-g)aOY;a_>mGNHKlWTcS<`vK1uQ3%oh%zmSW5d6Jh>Qy4c|f~9pS zG%^o2tK%Fz{l6who?y+jT&glKIDWZ*&<{Ntv#${rQrcxvYVO7gjiBl>6ne2zLRE(&PWaXfz5FFip!5x$7#VAf_!j@7Becl zt%sk%Ucnb*{@Odv`|W%9N1s_VRlR|qZ|1RlNwO%rcgHV>Zp@OCe?_A|I#ndHyyfhx z92TERsC6rL%+D4I#m`AKEuN~7bgK?@c)tX+;Nqw-icP&6&4`e`Q}kj31}wXsVia>) z4Pa%C{s=R}P9$)X=K3cVpm1=+iDJY{%c-VCvszqiC+~d(MJ=_Vl@sA;B1U5tsWJJd ziXzWqu|q>mW#7U%@IC+~vG9Vj=5sI2{lVcco)OvYy>BcJMK~1mG*g`BRj^F+`N(nZ znY0i0K12+#g&qoqyu{rL%9t(CkX}fqiXr5S+Y~v-&d9H1zQ4#0;&6%_C#Dv3!1`74 zDvB9U&u?0LDVN+ySP&${8SG1&KRco%;n#yCKG`K0#Y}!67P^yKBqCjNd4MP%zUC3F z&`xq!@j_Zft^u|BOYrioh$L*SeCMdfA=^O&-m?Co{yr11UV0Pn@<$0M`PXp{tNBgn zh*`gR>v#w*Cq;oLt0p&vYAtPi5!s$*?waY^eC-}}b5&11ffJ&9unh4AO(XRK3-IV( zsIZmAY;}0tDXk@AhJknz7!D-=)HTDp#in{_c&WR_qOCo7lv602y%Y8->ipY=Stt*g zplpfeyuFH?{t-Q-4J8d9WSv^C(g`X`re7^3ekP(7_a|!112~v{RuT?8j6`1+A{J(v zS$C0Vo(PjE6<#1OD6&)h<YHdh_1F7b{iIe#TXY_SU}xtG)jfGHqb&NTQ_2Hjt|Z`u|j z@q$cKGsHp7Qu-r^J4v<*8gA?}h<7tsqHAWXm!jMYI$>H`Y|2rXTjI796eTgkpw-fk za`-*m*}Zy3ro(WIOgEb0=;JcGn7nz~p~XUo-VV_>MGm=%NG)HvmtEzw1rVnKs`4s$ z(PGU0EHugKF=GGV>{Ji8t2{+B&cnIt4T&iQ(}fyh&^`R+Zm~5n|*w>=||c` zN0&40bH*Wr)3Ygx>J+KX?d0>>QJEt-uSQ1;`NBy@+eQsvFj3~O=qS5WJE%{B!ovFk zR#t2Kk!iGXh3Oqv;72E-V@7wSILP}v?{Cr+;}V!er?W(P_wm{b3ggtwRF6##!t*C6jF9x+o}QFpj)G5|V3FcHwAc2Z_g52Wo^pSASFm-JJ`=|A zTohY^+I>88st?jr#ym>1EB$E$GtrdiVibzLqnuBu3SGokoYs3t;PN<&Q}Pc_)ajDH z;UG=mjmkjZO)p}-h8 zl=fgjxY~3oZXU%g5R~vUgKg_GFOBF&Qso3%>B;6JfAed}@SV$80!uX}O-2G&75d7Y zz47Qbus4cAt0%2Aua$EtL6b2<9aUZzFPfS3e|g#tk24Y{{#IRDe(uS1KLyu_x}B;P zGvnqw#RPu`$jd%CT1>MYKP$}N*E58N$W8e5R@rp3u*(7D!JoB1U!~@ooXf}wRKC4n z2U7{&mPR#yEv31YwFD$*s{4KFC(qXx_n$8H6d^2~&mHR<>PMV33=_F6L0j?&@pQ^K z;=tYwnsWbH?Va1qvH0VMO*m!IDWtr2}b=SyH zka}VsZHSqaSPALzcMW->pVpqkngbWZ8^v1AoSki?HE7JL%@9!;m9}z2bk$4rD~1yN zg@;NU8(g}lZ}>2)u*SZF^y!iyOUZ8Ae2tgCx;otlWHO^-dV_Ds+p0pCAb}6?NO0}t zbk|+P43j(|2QhT}*ok~?kV_^s0dKoA6C@YpMfxmYytLQv&#NrjcSbT93euE|5|2i8 zd6RnbyawCIm3+U^u%o(}n(SFfdU@pk8cxYN7ZI1m_scVHHyBa}$;=lwqXUmaWiW9+ z(XyLqomXN+Wt4ZKGTV$9NWN*5BW@t09FHpIcI%wfcP9L#NC)5g%Wd@R$}@9H8<*MI zc(+k{Wz;+YW$&!_EE=`rHOF)7t`!d1ca)NEwPqD7b1alb&L+^QiKq6{b-6Pyyb9;d z6^>Nc5tIS6CtRnIr3iur6Ase5<*=1ZPA3-J4WpmP<=|6!zg5ahqt z?WZ2vhGmM8EQEu%T_vFTeVl|$M#rkT4JN! zwM8m=234}?9}Yih!=+AR7h1(FWm?54)`b1?$iC#%p91HQO@ebySDtbEm$LkE^eY|t zZFHxnc0ieBsNs(eXmsO0U3^o^GrLNM!(Gv{f4YR4bi`QnA-HRwxo&yB&O7W!+#v`D z+E2?;6D-J6?!A1vy=s7G-0=K=D4F)xt`-)HyG5C56_w$WK^)#+{40=BcTu1I{W3$% z6WR4J5AEsVTU7p3xatP`&htgXFGpS@$(-0p_@~P+-4s}SyeWnX;UCkUE4>$xn6#eS zD$3X+JxXQ?nmGX9=IKfnVG<6?FG>r8pDm?>(0^qpDL<$9UH&N}EU^)en?yB}Fg0gv zIV#@|)%AGTh8O?v*-B1g$0V<(7BWj~w zf=8?^A1n!{aul`AgbzM77=QI!kIuZSBk_&bFl^zHy=oyWS!3n?wV>`=Wjba*D5AsjLdkt<5{wD2%o|sB|R`n9eFWk-OxZJH-91OEo3^SX@#Me9_&_=5PT1 zl*prBKp-kH6EYx=E~gdh=!m_rkhcUvdZT(%-LeRj18;wflrmWWE*M18%J$wO6@Q+7 z&^S~97kf(7X?Qg>M(J_ERX^Ovoo{D`e|Egowl}pE^a^^KU{I3|FDG1wzWWkX z^5duN{7P1^>+SGZb*Qkm_RaTLkEr6Yq4j=CvZofMg9^{fiYsN65lt+a%x_5X31=16 zc@}=T!u0GNlrB$KNV4P1x)jC&s$w`wf^pbC)l)hxf4@(dUt{24vrP7rqHHN`PbId^ zzx$@OeREkn5qtO90W{U5!gh5y6;25>-%ffQYe<2M&NLCMCz;^UPBmL32APa%b~gUl zE0=B^mCh6*r{7mEW`CC%(n9`5d0KSks3@*zn|}Njrq>|&+q1Q9HHh&VOeB`VzE?My zPZGcIP=YB|p>=2}OoQEQ&diOoQc{{^DCQp1&}Y!wIu5r;`enM4t%a%8OPnd=(5N3| z1$swd%_lw_|I#<%jnWrd^OsAPzki<=6Dqr>nfErj>YOf6U^!=!E|1FwW(ZfA?ZLQS zkT4fC9V&%1gzjYIi4vOMEN9@k?EPA)4a+kC(Mt*o_vOdUs9BPf$5yl zvu;1th7wABAKy+*e@AM_)YQ-3a*Dpc)AKN3aA>+PgwWn&Us>%{rtVzl0d(a0kMO%< zHlFE+NoP~e)&;+c+J1ylqCq=y*VGX3eZw zzx7))dt!L3i;sgpLH&6veXK629W_q_;oAJ7A%7kbbi6gXM~R$Cmq# zI^S^Kz6`FPXKZ&pQlz?TUII>v_cG5r>^Qb2HJ@~HsBH@GU!bn|acyN|Cm?A>o7Q)g zy-?jU68A{O`Wh#2#yeuj9bYIBu_3otKF%G`Ww@1>UGedH40B4!`zTJJ(Reb$psYoF zmMeaOv52K@pS$$6=C0#3IZ|_2D!X>uml#BeyT1ak9;kT#V6z&;T^&W@EaWr1R=ZRY zhjQ?g7QNm(65GboWyf1yWny(fkp4dk0+W4X6Nt;H7?Tr`6`0N8*c>Y_T#%i4Z(6m5 zY`?y7ZL~>c*jqyM=y3(0(hzCC@bD=T`6!kY(HPY#KvSwWMh>PJehy8w*ASMJQVTRV zKrFenTY-F~-xzDOvwDJBZqAWoMWGrIY}nnj1DHC6OUZT=E2^@?rr@%NWC7h`|4`WR z<_tUQZD!~wn32bX7WKp(pD=GS{MEdq(@Gx~Rg%jPf5+4>s7FfuSL;`j%&9N@;$nDo zCGe9KGhlqp4v7(5|LE+SE@7*!)gs7lVgKUc5dHB^LghvMm1t8$&#ED!hu2Ddutk`- z-$#6Y7Ymf!_LCLg-l^_4w~3)05$KB4GDAxg@9eM^iBYv2Cc8jhrny7x4Xbue)N(H5 zQ<+G+?0AxB`{A~=%>N{gQV>PqLTyHQSBrK3!cXYAB* zmr#i!G&0zXHhB0^GCXQ}&=)D*k6S9Gdf}=bGN9Jc3~^;2^ypl}%aivTsE?3OH@n}( zaJViKvy-JGnl!L-#6mC3^vU85oa8#Baf9ixE@p54`%BQeE%i29 z5dqvdkBFAG0@BIkrVGg0o&;B)p=*P+`u?~#cxgF7<9-`q6?@y6ykH2v(|jckdt-2y za;L{l$Bq+29Mxrz`hk|8o0%~^Y?6xK?-KZj9hcYGKi%}EnG^4NgTDvJ^_DFU!vT5rt>KbhWYdC#l zQmZMu-7yL`?Mp*Q9=^S@bld_RrS=%X!R@=o1dZp7FP>)Qeb@xl*7S_esNwzm4SsZ2 zl4VPs6sL>_DWT;soQc8jrNlg!_XCJatKyU^8CCwc!JCF8uLZ`my?N$I;0wS|sD$K2 zfOyACuDdilRvQX*(o6b*^LaGkT}Cfm^D>U+&d{S$A>I2Zy%nh6Xq07gz!N%gw}QEJ zGeCq=;dD0N)(>t`x0gDM=B|ls1TlCV#gz;Sdf6nJ!H3G=J~{39qZNnB0ccc+37QeR zlw$YZTMIWnluLlJ4s}9zTtMD6-gIhwK$W5Yi*O*LlCabyO(PE|TW#$&S0D3>oRQYk zZza8|BO=u*E-D3-hO!LEvGJ4}R$JD&mVWjQkw3AI(8-E}+2z|AF^vEPV7^6<`10-- z*yQHKoe{=IW=;@7U5Z=x;FmrtcyN9N%F7z{gQv^7Ve8JHe$>s>!LKfJA|T5R@Qod& zc!*2m*RqdzsQhC#TNl_K-1_d3lbXFrC9EU zm!X-BK|T@dq1PZND?q z1uIl$6{sa6rXvjzRI)Up%l7;jX_JfM!9|&d*4z7|RKh{zPsV7W>}Uy1#Y1L>CLSOBCD1s~pk3MJ$RPDpbZ2~$I}Ql;)2g&j$-VMxo*5$WWyhKdVpkeOBZ zWMK+}yx+$_PD%C!0HoYls*D2oa3m$vXY`cECWXm8-HdrIcAWDrnvV=xxkYmGE#J0O z{_MYqTX$GNn>H1^i3d>e+;ytG{k-50e^BzArfG?Cxbalfg@AVtlna{KxE!blPTG;v zSEi(p%Jd#7BH~+D)Y@WVh}AO+EGR6wsf>a@t6t>y=f;oPMEbl`e|s?u&O_yT5mNVD zSj6v19;ZNBxu%^tK!xa_8dwc?^7t5deJ#I$f^#<2m$H!@ctZs=P}6I&B@yLNUqu9G z&M5IK9`#7XT>54ZdqnBy4kNd#&Cgyk#xM;&jl$}V=twIe zS=@@b?F&fLAVP`5bj!2qtP=msx5eQ=Oc`Q(h@m)eN>qnmDJJ?hNUxQqHvs%LD`2{C zHj{y8ejFjS6z{(nDkOY=12-=x*se6qjVo4O&<;iFt&L&RR zw_%Qxw_Q3T z^v%91y}Dlgn1Z`=TuX^!MBok>jQY$u8s!uzee&v@*pdd--%Rn5`YySPnnK=|qBTkB z|0eYRfO|g8olc`A)Vz}IvgW|7dLziweMaQ^q#N#*Ko?xsr?N>is!6t3oD*bFU+|js z1#qfY8|Bh1b67feAL%tmu>3$unjsm}sRqU_K^yv)3iZc;K(nlZEAWPcyU0fZrn6ZO z!J?4H7cB@QbgZ^vZ{O%U#pb7%9?L3^foVGF07WqlkG_}a&(T~cZ^V9G-YuST?;>gA&cUg4n zrwnxn>gdNyN$ocQ_Q8pB*H%PYqi8q3-Zf~ zw^#4t#>Lcb!c8x)eQiI54W4A!@SrMDxG}R(!`F9gek>8Tz=UWvv0*=~l&^Y*^(+h*j|0Bng(raj`5 zTFe8gF=e-&T-$L1MD#ds)slBJ&FnD*pZo@uEkAKFPxz?7c*VFM_$I-Y7Du@tEXC=p znb5dVW`m>tM%`&LXrmq(6T-`{?znralzF+C9(GiYU0ce3!bWCMtYM*4 zAX-*a!F;{B>xss7Q(1W{lFFG0Zaw2~eQ_s3os+lv*Fk}|Zs{*8Kw!ha2L&8sPoej6 z7>)b3CM!Hem&J6%{TK^!*QQDt7X=2e=9&4qF?q?i*c?43piKH@O3b_&zuiqjGF8Xc zFK_1=tb+9ekf*%A!h*^D9PQZeHVkP}R>QWoWhFjbJhDSZCK2~>mX9p9QlyjofP(Fk z!LQ)|B7fjG)zf_Wu-KHe&KGA{t7lT%HHt{TscnZhkw+zXu`gwW@T6^#uFGyUYPU&? z8vUs9@Eu@V(*R5Q-l3eE30*}ioUW=kmvP%P=w>5raSNT_NI6gX$ku^~YL5m%%K1sZ z^C6~ehhaq8tS{8Gt5}5d+&N~jri!A;AXxriaKHN#+F9D(%p@B=x`#tQ!!9K}9MN8d z^{gUQW$oEN-GbADTURAXd5cH(Wt>Qku1Et{ZzqDsZBFQv)Wt~}`hjZ*Qxmq+j^r}` z-{1!l_SuGDqr;U?tyecFfO#R}GO!TwB%eNE+;wfFO(pmxSV5KwC$mv8)b-6(Otjzw~l4xI+KW27`iz1ta2c{css}O7?`>)*79cEp>UUs-~L# z-twe^bGz-lK7SpmxcB{A8Ijd9vguzMqDc*rL2l2Y4(eT%qyQSok0iiI8T@uaTKDYdK9J;z zM7R>myJU!nsJ_X9>WKM-Lp4C3Gm59QeRTzA1lGB&7?`DqmD@ts-(6{M=lU_Xk+EET z@;8(sTsWu6TEGp{Vwx%CZ@Pl2b82KldEH=a=N0BPVjKnMzxmF2W^AH)W;dl7?Li0Q zLhWMi!A06%1y!CK88gd==sbUW0tZ7GjQB1#vJR7vijZKsIeIFWLB2-)Nk!-WdWE|T z>bC=aM$AKW&T%b{}}VT8~Zr`tTu&sR$T>sZOzR`>>aJF<7p1CNQv z!^d^3bkwg-F;z)gp6OJtVMXxn3tjY6QqIRCN?bS)#{+XQ7LBSj-x*qiFnF8OrY`o9oE z=fPMRU3ziBXUaj-LR;qv(IxX&8AS}ewX|ksmll^8kXx090Pu*(q5HFpjD$&Xf9JVL zr`kWzi&7pX#QJqkr&9IQFY$Y;jc$|8M%c5-Ux1f+fI0#P+mMYaN|MeSVb>~K9r^W<|$3{>A}>~(HN;wfW*&=>bvfkd%X2BJsBy9Du? zCW!l`(|4mn$O-aks#3MVp^cx(q`*$E0HryU0EbSe*`YOSaEsnbt4(g);-1EMPe47$sMc?~3**(mrv`2I}D%2OoY>lP9e21?=JKZ-8y2W0#Rg zN2f-1l;OmBL|>^7}V+(uZ-C>OPQa$#Ap- zn&sQcToKA(N|rL4JR^3tCE1_+LjiBjw{|wK=D_afoc6-4zpk7n=+FIi{=(_HhjWUd zXPG>OTio!-{oL}4ygvjbsNv^Y={0rJGyjq3|73I?d9PK0-x3kuHY-C-IOjW4$J%1< zU{ZUsU~Spxq`JT2g|oxRs=IoM+|OmGO`QeF3b*e4`?_BVuPF+~38_s?M1Z!}sRCr& z@7e>(V2=gZ*;NQD;s$Nw9o`bNGQ zf~s?~Na3*8`E*RmT&6-LoG&dW9aJ8|)zs8V-I_$etwP2V5!EzkiPeI!tBZ1Zlx0{D z;dGso35jJ}IpSuii?@#^@8g`mju`6&KhoP%04WY6Kf6QF2k11;;-!JFl9!jK?Iw7gBf%m1A?+mr?Sze(tSrrrIwaw*XwaQehiC_jLN&!h zaCpnBtNLMpOqP~4XUYDF0)|m%wHK=XX78$tVK)ZU4rgn-V&2(z1^I>R@ZGPHtsU)X z{8X+?Q^`((TctMGq>R!e*tTNrBJn;hG5YJGufTJs&DxFLb^$lgfRxM2@L{(A=g-Vb~kNmaj`(ppN}nNI^asz8|A7VJZ&75XgwJ*s$IKP_CdF&ZiIPsOOWOj&N* zR`-5tJZ>zh&g12`O{e`_$VvKQ=Ds7uV^^};2(NsOf66?8(9AxZb0cpE@NZmh-xuXR zGC5dyuugeVwBcZ?botaYN)E0BJ{!2gzgxjNL*!* zTID1!dN+MX{^tw%;(%D0(!h07#8UUn#%5~%>+J14tH+{ia~|9FS%n{y z`~blUMsuNl0mgyLb>@gTE#`+7JF|&nz|Gn%OpPcW?>L!(kN;+$EcRPYmtnYDdehnt z!ZaejWsBlF?nT8a2P8Z|;SxFt^Qtp%@erb3Ou(3xUbpG+_EZVy4CVLbrLZL$xV}EI z?|}rLvaGcFQmmEDSOgy>PMnRJ);j^Sr76$%YD_F)e)Q;ArZ@r{oXe_D&%s(3%5YOyA^zzlw8eNRc2DQR}jdwp{7_Xa=&^7qBfpTdXVu1_TV{WW-tM#rcM>TDU(*ms& zI(SESaD@0sH^GCkjAk2RmTH=?eZvE_nW8RmI?wjFzSOO|g2n7ULq`%YR3#P3=?7(8XWla z)AculyzfDd8@<~sC6ey z*og4SD9^X3MhD3I(~b^{6rk4X%FeRfrfI(gG&{!I&8zAt0V7Se-BMV6sis#IA4shu z@5GJ=nu(*%f3gG1S~$AKh1DoLyi@vCXAG%?aUnYvfL$yjVJMx9Wh7I}8hkhHjl9R4 zj}o$z0;hGX#p|n>I=GSH#*8f?CdU>?+qSp+eeo#GyVgkT($HkSM~J*NpE%Rtdcj+7 zrv2=rBbSuhf+2d;0xqQn_B~!^KFrotI+c5Ld)4LN+FKv?SS--~)F#Ad^N_yMTd8qX zj`d0A z5`4jWdoD{Co9>yb?|2MiA=#C^fV@H3L>lk;sP4loK9WqAdF2KXPBSW^3{E2zK=ui%2+eSlELYeuPW8kKmuY0Asr4tuUt*L=3-$pW%~gw>X)OANhf} zQ7+ZACL3w}r{(kS5?K>L&+`2mqi^;$E2jQ@Xk&YbR5yL5o-7r?Cal@}ykPAnQ)&-P zu=7ZFa^byMaZSR!XOn|fN;F=e(P>hnu4=(kV@CbOB^deBLUNnMM%t>_DmSY-EkuI@ zlB(kE%I_L8;A-0(96t8!hNQ>mkmQ*sb}93vY7mVCwtM6=j#wsI_J3W>#=AwAPRNam zX^UHnL6>4;nwEd>yN|Z>GkLp}1~zGhm>E6LnO#Gn$1X|jF35`z*Ln!kt6|-SwGXTl zT++Exg}e{9E9&m3l(tRuE`S>5uhze4KMYpc%aV``dGQb5q&5(xlvxGtasMRacD!qk z7mKLf&+38Ke-Dy7Sd$|#CYKC+F*HWYR|EFH<=|y)Ib!35gegWW#AVA?hQ39K7ue)| z>uoW@KI$-fw{Y!y9e>0IKh6=I;I;eW{BG)0ihr%`rcJ+LGk#Prydw>kBSu28t?}Z zBjU6djNub2p|VwHgv&2a3Jw+Q!SA#ny79al0lej7LD4~Lmji>lgS-z(meV2N#@}tR zxTxhs(bmuM!LMedjlr>U}w7IUNEvs?IXw=qkql=(-m*0w6fBC;#RefH(Bbu&7&mp8>q%++a^=lRI>6V0%a}p@T94Qs>Np8Zs$_O1uT!4Y~ zugR@e-h6+*+lH8HV+DjB)_(=yY8_>`M0$gpNJS;vIV+vAl?}#fuE#9Bp!qpmW9-FNSCD@&bpS@ zCOrbzd$-6ffnH69vy9$%;>tu9<|ly(-@MxiciiV*3PeGbHi-4MLic?utJE|d`ETvK}Y4=zQ=j$ zgmQtgoY;*>Zi#X>!_1LlWkXs0@Tai*nYU?7pXgJzOGmw6H$j}?O$xRpRmC29wz;m+ z&Ro94 zD{RiO;hMvKaf7*MwAFnrMrjKO>^O4fVAoU@G0wrGw80z!?G3G=b)wZ3tpl?`&E8YF zAn*n&+FUN)hXH}$4H;QtJWdv*!9I zJ~J!1(M@Vgl;RF6h5j~!#>X3fZ+$3H157lz+(4S;rt97 zz7d7MZ_sP(npp?d=?;86I(dz`YDbR*Tc_9T-cl(W{(&Z-oQs<& zYc~IuPwnBb7add;d^n(*u(`R}Y&_XE2{>@|U=HBY`=Qb8AI|Ih<3?KWQSXc?0%_Va zt|ox!8Pn^Lr$TD+eWY8-|Hd=ZvSmdeKs)a&nI~*+Z90?}P^`#${eF{CAuw3b8WZmr zU~Y+UvvNnEo9eA-No?|hmE*`ozc3ck;T#y0zp!36tl@6{s#zBfhQ^NtvyRshEd@k} z20CX@1{lfBO+M$;;@jD@Y~jV$dFnQeN-2&!f`aW-r;z?CkOLivN3?TBDL zPxWW48pRcq2X;p)dhUgj3+3}p?eG!Z@p6KN%IGJ%S(qYCRw_?qi^NV?~~>Rp0mcyngmCN*59b|I~SW7136!d&t%` z%e@nE0YYo~X~ue5PRb3Zz?zZLueBVdRn~A8fpuR7?U@&dgYaYg4bLy-Q^g9Km6sR=to7bKPG}-MK_>u~|Rez4h_} z#wZfM!7V6`_+}p>z~&*F(#Cr|E~U*7B-`^+UD?zG;-2?HZ;bDJ*ucK;Q zwoLNcK7anZ1g0(~cg8tpF-xw_Isv^q5jDEma48!Q{uw&!50)v0sFjsEh>c?fVP{+m zw%8lgP&v|vWv2m)iu*uRVM0pk9r(d&1&d5@L04C48|?t4+MU7_o)$L=@LjBHrRdm1 zIo{g>Xj!4EJ~x85=H;Uihs(&(d88#S$K(7 z`x>%4F%b3cgit%DAT9+MIM06BIE_pWgnoVgo17(or+=HyiChys2-0*pU-7ch7hh{p z#+Z8hXXo}pjA7^r2VR&uxpdFf3$`$?e(=l=><<=)$hv&tmbHL<8NkunSlnIs_j^9L zX$7FxU}>qb`-QqmML96|xse_H&LeUz?8~#VF&+BK|4n_4#Ay zG)9_kHL>fuW`Zj{zzv@oEyPRHAu1v>wephQv-gEEytnMz0B&bHx*XowTel{D4E_u+ zGZC9_gsG`{cVE9jxKE~M6-Z=B(S$}r(|Ucb=4mBh;L>>8{TD^v_Cy~en$9D|fSZR5>)81$)JW!3u?;f|6je-a!e zvZU}DF1Dl|E#w_N%e+#5d-mw4!3Af?2-4gXz8e7J>ik?l-fE*jGirJ16$CJUaHZ1X zq7mnH<@eZ-_*<_qNBt)hZ>If^Qx-7vuV;TTYkbx#VmP_fK*;Z=E7b$3v*6^bbDFAp KDlnyIum2kq#3`r% literal 0 HcmV?d00001 diff --git a/decoder.png b/decoder.png new file mode 100644 index 0000000000000000000000000000000000000000..034664b31eea33ef13ada2990e6515be397c801a GIT binary patch literal 67534 zcmbSyb9`ja5^pxPH@0otw#|)gW5bPYW223;u`$WUwl+2=oap7gcYpWZzu)=H=X1{V z>8|PSs_LrxR!x+Ok~9)L9y}Nr7?P}vgc=wa#4#8cxH~NLXUlhIIP1?Fq??$m2JGkM z5Bu%M=XYFpNga1}CrfuPQ&$TxD@P{>3r06{R|^YAH)|*ND~MhpFfbA@SqV`M@7(iE zFK+_CbKlL?<;6Y!J(GUp#=hfT2>v1!YM=YOI4n*wFKO-nkuw_lMu_7GRG}T9Te+r`_ORK)R!0i^&n#;<@kCav6L`2yDuoD0C6BL!@ zv=vn$WtEv_l9HN8+l&TL={YHiVqGY~&JrI{^tAt>EZgf2J`Xw1(Xj47G5j)mk`tAl zmK9P}B~dc0Y$*QUZlD76I6Uj@xQRPVj=GUJ8(mn^&foI?S=3yQr5+Yh0*0dISB1f1 z_QzZV(h4V7G-SycB#7}w70s`mWjhZ%H@*fmaz|1@+s5Pn8JS!fFv_N=p(%+WMiRET z1KzKa*|7#4kCWKH^Tvjg_;=)L0agMru)6XnhLO_0r#-dJo7l0nX|U1O4*yuVQ1}f9 zN=i)pF@HjW9;K$PK6uEeztU*Ke)H1CV6)907UxV8Ya=0Z<2MsDfI$1AZny??t1SO# zqw>fls&{mGPr^#Vs#=0Vh+!db$lFd5i}u?&!-_&JhUHW-RUBxt^B6@#^?P*XyNmw< zebyM{3td-CBX{q8S@86`!cl3W(K~GmZ5FsWt`{?mOX!w_Xyw-)6->!dZ&K1y#FVn- zZj!Iyc)NvV2ik=fe+t$tHccITRQ~#hy>mv53Md6EbF)m zQ)Or>ga!`>&|=8^j@si`XDT!yrtpCt`|e>DrrDP3lB@PKRRiS({_&f&cec8D7J8ni zr)RL-9H^XT-N+g_NUieZj1!A<2*JryW6iGSTZ-DekjlZ7^a#w8}r2Kl$jesQ&MjmKJc6 zO1!}Nin9zA;R=D)$TEvqz)00qP&xiQUfJs!5YLR>ShqPegt06i945M>$b*{-5NW&V z27vDRPu$Vtr1&*O=Q&*3H||EKiaR>0HXDULUSqh%v$!svoT; z)9c#Nn{a*VhqE6);wW=r$HCW5upBOZdV_fAoE3RO^{wKD;tH<^U)rzs9B5LaQPrV~ zyj^h;VSl-YpB82SiG7pBF-~=zKjOOdQNhrpjS7YxfO~x4BBfjsJS#IeN}^46--hfe zrXcC)$83J!@E9VqrMzZFiz&*Gt7yVU=k;e9;bCHb7x|$gPS`=KVvh%Bl;&-0|9;69 z7P^*=M^;2q24*ETz8sF4xx$CGF6AH|<{ZGNDh>uu)S4GdLCi;o#btXoC~Pt91L@EDp-RXZBbs6y2V4rrKOW8@4t#G>_kX) zob+R=f7EdzLgYN(%|t&GplD~;?wDARbc6TH7U^;W=Wlw^4dMeARawMmKjfa83Ok}q zM)cXyi3t*PICEV>6uH(WWGvjQtkl$WPo1S$+>y(M*WUMgG)wdGAUg{WsQ`z>ouJIk z%v|l8&DD#1llKd5W88hn5sx(R`JbSUXY390$48M^I*V6U>i#G*572iLp8Gy zv!=Z{HQXhGj%cqT+zs~=3iM#Y0>J?XC&%HuM5W1eVj2t7tWq|z@u@B(zHfwo+BEpN z&~d$Fn5KoB(KgeE>)pX(9LD8;z+$&k8%+QrQAj3#f%9?dYqLBa@V?pwWI|X8k6H|B z+#Mvij*8PqwWX*=<`3d$Ad4e0?JmU>l=Z3MKK)|nIa+~3l&Lpe{Mz_5&+T(l;^B?{ zdb}w!=V1V8%USk*2yaQmmiTS5xRy<9g9)f3R#HVb7VK#z-Jq_HLFsl1m+Q7c5mDYL z0!eFdO@|`biMm*zVBwG&7+Emv6rb1kmx5pG%`^S|(a;EF!a3#5jTG%wcebk}Q%d*E zY9s2%ZK2H{`Wt8_*!megzw5#VOKUyLSy6f&L>tZUhp*z^qv5r3VQF=}rd(m>-{;}U zQQaWqCJQ3S23m^;2Okv~XaCW4PgK0^KF1?Bzv#xdvfo1;i2F^$BplBSfe!xd+!1lm zo@t#0QGkfM!_l3#NCgw2Zh5h?vFg{absdzZ$wt0Gca)>jsD}On&#n*HkEh?6rI0A2 zO8iKiPz;8cRQ-EH=u}aoE)>OThV!?cV2hU|%!}eU+#a0#Df*9v>TM@K{O7*kG7}$^ zWh|i=oRd;O$^r0}7V=~)bMrQeXd$)JlYIWt?B=8sawah}q$|=woY=w8Sd5mTVlgRb z!_!W|dT{bRJn^7|;*KVId1%}B(U$z1;aKfaA6_9wwKAGWam-fszcett{5oooY)$bx zRW0hGtpxw&#rk?8TNxe=h|xu?d*N2Heb1-ygA0H4z8ldi9V-noT*A*c~IXcvGu z=^JAA-jK>SKDE+aGu|nQLl!419Z$af#l%#H4!NL^&Sb}#hS2HkN9aUWkYj)$BCO^~ zZ1-J+=NT=A`@g*d(DNCKC^mSPB@R9M8ZRpz)i%aKF50RMl3GkZ=I#` z3Z12?#vEw>Wsh#3{Hq@Q1R`-o; zbFc8G0I5F<*X2|gPB3`UaFFDg*$}8TRCS7v99MX&N%-~CQFqvF8p3TPN58Sw7lug$!%O1OXp0vO6HaT$mTy~%7m8F^=w>)plNo9bw4 zQsjal*xpVbti4T$td)_e0nP13QADzB!PrpOi|VcP$^OASk+3vl2Qthck|TmVXpQR~ zMef^O5Nr=?llQj=Otx}DSrGp;dLyD(bd3w6y@uv8di?nYF_&VAa&$o%E$Lu<7Dsf} zo`FavWx{4(_qN7goIuiqZIrw&-Y#d~7 z^r3RU8Emg0AJU92aAR`g-G+7xuBq)~jCP2SC&jqCJ9W8u@3DvJN3IiF~@+{Mh)9|MOBl4B6f8;*Szttb)z?16wO5 zOY5Ry=jX+jcNVHh?I0R5>hW)>t$>gPDI^V#BeUpYRKy6?)I7$(`7&j@CRdN<=E-qR zjxc@(1kaY#D@Q8?P`-sngh)~4q&}?3P=~NIIDF(({v>Yz$u%JF^*Ma9ZI@8zw^|RF ze6V$iRU6;61`}@}!ehX1B;#Cc@rJ$~lkouu=RT2R{_OAVfn}xQ`!6Wo4x6K~Li{l| z>Oh^-gytTuYD1-;EO1Lzu>$wg2JQxdZgzgL7_u9hcAPpnqX=?m+2$Cj>}|SC#CHsITmCZ_$DPCRAY(q#Csr@&RFlB6W#Z#bSQ6h zbJ-3@J(|_fQLuWho7Fj6bc{K;(^)KRcKa;CAj)H$fzX&^L3JErh zD_(k^>2Gm#G8B8tweE%5v{YZ!sB;S*1V@vH@Z()Z~Ifvgh)1Tjk1;Gc+U=Droi5^U&0cOw+&&7bzslnOt(z z70D@S=T>y8sh+B@YEeZV+A$x>d zjDW9N;+ZfEw)n`BHvN_Xe|Dfj2d}y??6C6g8C&Caeo?|v*BP-{R`_GHHT9Uqv$CF4 zLw^q{Ww;UFn2W*U=xb_vnzc((xMBKcPDko5zsn$m8AX_8q($qh@Lh!y6aCgEro1)F z#+c53i~SqA`wC#warvoi*hHZtc$lKKZhM3g<0W~yp0K1So^*@HF)LGAN|BUIk62$t z{i%$r#b15Zvs21u6&d8`%J0vxR%_3NZ(+gDr@7QJ~{5i(UDKp?gn6#2;Pr_ zb+l?Pi5|C8keg2Im3sHA2zXMiG6R@X*{~}8N5oEmy$3bJj`WMzB4VRm+YI1)=UFAE z=zUq@&&6VEJk{(DQkedS2 zje=_Ei^lEXl5a7RpE36Mq17WY8rq=J%4TFrU=WpV8qjC2`{%i}mwszElTePRL*%*w z)$zYw>N|OoFp;LUlf6r4YX(@F30T^@COA~Acp1Sr+ZGcpWLT>u&3WYnGsywiaY#uE zc@+!MP^5zG_(h$T!6H?4L&X4FHl^|=by>-3dcPm1r+Tk}%AFR9`E2czuC{izYYe~G z&xQCA^8LTVc}?w#1i`};1Tn%C^d9+x*N64ll1n%e8FAmT8kVLb}-=xNR8S$w`(z9lw=FH*3AVCN^ zjN0(n#%Wo!`S$ZNwj8~mP5$W`2#?Imq{WmYe9(lBk)teHsXst(OgQNgNyTDvXrG^D zQ>c&U`4!$cYtpbFEp)wS+!tpE(EdF=G(*yJb=Z><(PJBUi#_7}wgZRA^CoqeOF(7s z)&TtOMJMO*(s1=!O&})keV=3A{_vOQcDg4jV`^?ToY3#Se4^)6(H0DPmnWR z@$tGIYX@J@p(8YUU@E}UuQ=UWK6sdZIRB!Cq4`JXT|p@;8F60?xCwuViPh3xQ+Z)x zNJhAr!qlDdPx!hftSe@6wk`Z<`7=-2k~Vs5jxVLs2m*#mhe|AAC>bza>z4gdh&; zfirD2EiRJggeGQ4qd zB_i_|KGIxT#KVc_IgJ*N6|}^R>PxtqGBuS&%@HTpXh_oJ6uNa~myuVbqU1#`pY4ke zX=cdk4I5z5@f2Rnp~LmO`%+3r+T#b})zXrlP-4?jYuq4`U)lMcQt2|s-tMFEE5ck99shhG`>CZo_A8KEJP+by_$ z9;RTO>+(ATb-LJb)co{$6bXbm=s&AW$Puus0f(L{cW?e$(&&Fqazu;u!%b+%h4TjA zrWvK~c~s9Ix@*U)22f3tCieHr5*^rA-zP_^E1J<_pKt|Tz_yZox^8Z4i;3~Ji>yCX;3?1`-uSu)wEAU{*C%=M{&?PUBh(P>|!BxvdA#F`tF$4(K&d0-<$ zaC{->?W0RfnrMeQp{fQu<>#u@^oapKB2+o?Z34A{O@mO#c(%WyGQsQA|K5Naf-4TF zH1y+1%^p|F0qs$A@TBw7Z7rAx;R?NC3q*eV`a`#9wX^^*wXWd#WgKHl)E1UOq)e^( zHZT))O*VfzQ~l~UPFo+?<#Q1jwpTh|^LA8xNM@WUvj}mVIE#uZxh{DVH=oYT+$)ND zRpMwfsewrkbq%OaMbgzHvZ{)_XvUcel#|RP6F1)MvgAWAG#iEzQUX9UBrAi6>NTI{ zt0Q$s@q>;bq5y{mpvBZPS55~wQ^-GiMvqn{XPFyXU)^YyweUZn!6!0SrhLXD z8o45KJS!6x6;G0qXA0tXe~p$Dsj!b;(?#`cka;xm;;XmQi?om=Q|%%`5$Z*0T@SBX zt7k|tp-~7Z%r{~AF=(@0Zt)MNC}ii9`x_ZjZ6b*mDj@}>P!|;y28S9M%)AWgE2^Ru zn@pGOe=i%pAE~DQ;|3;ET+-4C`ZYSD2U3SyDF4GlrB@o#Eim-rPEC*ndCs zd2#*t*A#@A4drGs7>%QgEBsrXh1lbHdlr50LXfI;nh7$-0mH=HEY^SjGHF+luJpOE zD;>|VeY~9u8^+J0eF_t1c!T)}c)jB$AL3vi6G}z$Z{zu2(X;_)jsxLm&iA-t!+CfDMysURL8n67a9kUdQ-ZDbTQ$JVH_ zxYcRR@MwIsqWz-$IZ4p-iCRdH=Px-=A151l-y1FiHZzY2K96M z+eVJNKfY~a(Qk;%HZ3Qzxq@wtvcuVLNsOL(CA-aT`<1?Krggbs!>tN6mgmZeo03XS z8z^2>av;&s)9JK2B9TkQlhX3yYNibqoFk1lR#a8*M{16{bQqMD zmVLssbwkN7bw?f+ANNB?+bmG>2;dB>Y9r~ls2-*e@**M9<|0)&th|9#`+$b3itpHm zX<*Km3kt*gPke-XNAH54hW5Ac=Y|s@OFMUVE9CM-JtG{k0!!8PyNq;oN6RLKUFYDa z$-@e(syg)3qNPf7(gA;x$oV;YEp#PHk{khRX_b+qI09&rQF;Ddpl2y6Wg-a&2S%{= zw_Bb1id^<`BybVfm^mbbM?Oa{oQSE@=3lrRECosA!=D%|UvsVvUaEF#lkbJC6e8j5 zLFs)Eqhm}Xo-Iw_Jr-H`FAmA4GARxm{WR3R zzzre5Y7;41;Txi%)!EWyEDmqPI|R(z!s0VPMn+-a!UZ@u_OFNp5u@ph`hUj9?E(Y(2Yh0Qc=1$pTLZtg^R{nRX>j13+>*En z&V~??SFAQ51!teqZTaqWNKN{8 zm4C)c5NVMyTU5#vg`Pg(f%}r=v5J?*_J~R24%0L%wc8Uv0EIANl0EBG({APA`0HKg zxvEA+hV=omy)AS+kMQhRV}KraaI|v4NLdC3w=-S;fF_TcYvpz$l=gN}H`e?rbwNZ; z&W)vIVTL`KsQ5#{~3R%7ZQXCZYe<%1ljUMg;GY)wsCI;P^rs^m&_86h!6t^X2#*5&sf%9O&uZYliW3 zW``S)ILc55&?xYLlCg}K#gd_y|IuG9SzWjDJ+eD`eKCe6y7={c7hK0TwfM*?g^Q0^ z*%xo;;#WPu!pv(mO3QI%GZe0ag#i^yizAw)=5WPj^@ai&H{7WG>*9%IQx=X#+6u;; zFN3Gm=MxRrciUETjjt&@u8@}QxKIhkl2ZT_a)s|R9$}_r69F$2k$qK875cA2?d$o4ce{H8V)N)L)!hBOTtZOQ2BZ@QxII3TFp2POBFxrMf z^V^nCJQFJ5=SQHL=m~F^U(*yvSTKL2e0WdoH>!hnp9*}66GiWDm7g1Zae9RWP`E$n z&lqKU6+SIjfRONW=&f$EFQ>UwLjTcYgXtjjEfAO`97F`8l=;I#m%*?n)l@tZ0~>q0 zMy`1g@D`qt4`5?VuQP}?od2|ko}Gk>^FI<2js_Fnzvei$nlGPQdm?4b1KNf~xA+Fp zvC+Xrj8vAOz|*#-N|p- zN1qx&sB{JqlW8KQUAfk%xmggMnguO;aA7v2?u3Y(yEwuEE1Y^V6pt?$qmQj<Z zFXmnc*dAqOAnyB(64njHU+MgbKy=7Rt!1Ba!QkP|qnv#>*!HF? z8j8~ju({2~>-xId!1uv$O}yH+C+LQV$p1pWg>yxgFaSKLhbk&%m@1f;pZ3XALX>}WF#hlPcEMEX!m!gXYqaey5Sddz zd&Dh`Hz;=RxM^xHY!;+&TY1D`dTWT$ z@9Jtrl2Vqu9Cfu3oLvniLav|M*fheUWy8(%oInH?wQwbmN3HQ1IY(x0VbA6M!uPC$ z1xg2t43E;~iA9ZCfvPcp(UJyCRT!#ZVh~Ys<+W9p(TrST?}NX*l1MlTW|9Q>TV9n9 zhd>#Jk6-8&h7&<}z#jHoZR_&j>NV&L#(2gH#A6MM%Ag~C`n`m8P_^0`^Fb5ai*)6E zgK_9le&E1szTSs2oB8|cB0lPt!JOrJr(fT^zyZpLl)!<%%6=tdW!4H?SXzAS9aBk_s2X@K6r8P;34!h@Whu)6Mbdkr-!fNwfx2= zov^lgcA9o2ha*f9_09MkpUf7P>kO%Tq1zC(s)9@|^(%8Xpaa5^b)uU+z8L#@2e^$2 zhDUeGDhtvz+;5QN25z~1{Pdh~d9|_R(tAeV$^r^K3MUT?ax0NbZcghp4d!@X#q0aH zHD#De6HH%4TJ2!pr`m(QPa@G}D~1=WmS9R&BvQIF_K zrM>OHbuha*xKm3xR?}R_owk_c(n&`UFE}Ql+PCBEdPc~-=ggyuX>DEM#9Fs}wr-wp zIDV{TFwarHs&UzKgv`PCz!jaa?ISPl?=SEuc+E|cj$<6OiIiD3OYgGY$n8Qe?1$U* zjaPR*NYZ*7enNzI0MlBCzg=1hT%JVYJkZ5dk7i(i11VM{GlJ2$@i~TDmgnhP<5W+T zootpVsR9OlRf>QwP0~O!&Ug4Q(t00`V#2jj&HQj!+N#yq2A2i-ZB5~dVJmk#;;$E3$~yWMA@t!%<=c~?*!v@6Ty#1dN1{Z2 zdv;tfee+gi)W-gtN6LzW$R>-8yOX`Z6}y6_7BT7jL+7V76z9RDlk)-xeLqnb)C~U2 z9kAon>c?Ql_%72DoXgk%6!>4+9>l#XheB5i?=g-C!0<1PNCDO|G8p-Hct?eoEax0POjD2f~Q4hAg(3(`h3H;ul|?fYTWBD*S6BYUPaUScO4V z8POdyo|->@a}E7<_lnu?l2r_yieFoK5>)Ko%&zUH^7UhrmL($1{Wsye6=2 z(^qX=xw#k{INHs9EwkudYb&^2|H|0lnoU4;i zV{FjnZM)3M!3>#!`19bPGRbcpW*$vKCEpXZ+P8DR7JTW%-4!3D${%>?-FG~0J?j!K z4v;E0_k@FY>Vf03d+N?K!9VKX#<6`)Yn)bf%tP3dUU8S5H)#VJt~{oLVb`~OQn|UQ zT^8`rx zXbP1V2u4G%-|o z4?$JO=wD}io}CZ{(41Byy|P(50(4gl!IvWuZaK^-`)|1Ws13qe+*oH zGYd`QPe1YeEp2M|wuIN(!4~+~Z4yZ4jqOw(>-$MJxt-yF#88&OXeHS!_r4>3!Op6shbG;AIQ$!3#Mh2LD8Cy3?q<@2fI@3Wjkr2o%+F#oiJMZZ?3**UoDumjX;k z(mC692mFJ0nhd@mrF>?dVEGSEB?ew>)0Q3&ey=GH*L|O5S5xB@;v=C!gh#>PkMH_f zNprsKN**JQ1u=OA%;V^=0FmQ{Wkz-xMNOP!P*T^rZVTA}X04vM?%1e-=d4PXB_)23 ze@rV;M|`sU)zMs3AWhzQsPgon#|Ck`k1f_i2OFJ$&5AFHk9tYY^K!<4bqSOCAuP%v z(@_jou&Ie%gJ-8rb?DsigSNboefj0A5`kMs&s(^P?92UyfLkp14zZ7;(l}ktqMfdK zc`~;Ji>LSq%n)4MJ2zN7u9`xPlfl@Y+W3qDmcnPT z;yL#Tg}hOVps^7)4ST(OQKUT`4$VfYA(GQKXym2FmY0b@#3zoJ!#!$ zE@ewgt{q1M3G07pcy#elM`KQ>yG1KtORZB0=-b`UKj|*G)LDqV+hSR_tB0jkK}4?; zH&ddcilO;)5mFbeFjMj4Oh*e9P?p5XkW>886W@7df{r3st8SW;Y$l&T=3e3=@#tx$ z9~b507UKAwQY8!w050iHr>ez(fW}FJ9z)O2l(ye>)8i^%sMGn%1trF9CdiIgPFvRO z)X|m$PLCO)0tvv>(ZW=SDewhb*SP{G%&{RE5QS{n+9q1h-)nR$ShpBZsr5djqT(~l z8B7ZR+mk-n!vvR9tp|_sc?%^=PUsFDSH}M_$k7l-6x({IEC2!ptqKKFz2O1btw1bN zNW>vAq=@zDHx~hmvH5{Kd&!IossV6}nbUjn+^9UP7?Dm4+Cpzs>Gd-us(LRAS>MrZ zZ`c|7+kr;vjPbENu&E2E;fIi$h^cCk31}EptiIL+bmBIr;}o`7x998YxUQr427zYK zTqX-(`1FUylhWI%Y```Lfwxo3IVHd3P9{95N2e~3g-XaT{*9ydyp{D zQ_H-DA14@suh*+o!$v@_sq^Lg-yPin3?7PxGa~AwIGoOFgpehCK9!e(hxnVz(-2m7 zQ*Ah%>XT%t1LH3y6og>e9&%v3b^tNNAWF!Gdmx zDQtKtjiLcR7@pFlwJ_0zmvo?Zy1dV%O=6!4!l(hGIF_X*=T zPn{z?S3f8)$IYxSuXLNvnR*P=0Cho|!;e3$pW9b9r=$o{`sTE~T^nM=t%#*tYVP-v z*xe}1M-cmIP0rg?DK?&{^8@$Tqet>2EbEi`1ojh%uEx(vwc}ebHm#Kl4O6ozU##VPXCv6zAm43l)zAFro`imZR=MPx0MEF-O*)L2#3p~CnN}cQ8=?DhA`9$ztw0* zU97=K6ere;^=ISe^~J8{ku-No+89ouOWtTd_0{gLt^8s;Ea?_z*;F6dFQ&jdTxsG~ z=>QE)2MeMU74D8~Zor}kp{8b3Ov2tXcEK03^_|BiCh)(jM<1&jAarcvWr1CPry+;& zts%wyKra5nZaL6`Y9`V>jTR)kZSgaP*_8tVYUgh<1cXnAoj^(=gvOK*V3U+pAT~Si zwTmxA@6h~9wZj)At=@T|@X{*~X{Z%@VE)?AE8KG@vFT-Jr5600EwDm@%GUah4) zbx2(4gvIeStc3RCy_X{HIP&m@JA)Fhvyr~@cLjZ%Y@0{^c}d>RzSgwl!cL)P?|DR z)0oG`fD#gVoqXc*_lqT zMLP~_1G4}SLUKp_WO)H+?P=2*{(E|ALZwmZ8dfUnRkV$oRo-j4dn{ zvxWK4ctxep2XK@(cr_{@zr@4rgA_LXt6H&@^PkGd*ra{{VG=%eU}K8&vC?K#pw{r4JAIAfGE^`>b2~rLK1H5mIh8PSGNo zDL6{aFhwYPv@xuJKKU#E@wJPu9De~dp*T3hDxN;(pGp3uyD5agM;4TwgT&q~Kpk7^ zA&{)e79zZ(@bpC3^>Ci?*9kM62}gkXxfat*)O5J$;(%4#^OgK)=U-1Ip1znlt+_?_ z9$Ltb-M!$^fSqvS6(Yf`K%Wsdw*|5M-Zy3Yd~Zl;qG$TDL+as<-mB)}dsR%|Z>Zaw zEh$ZT9bShoR!6Mm{Oi3Q@QO9>jAw*?b+CP88b%83Nn=Ci)G7L{A(L91<3ca#lQ0C+ z!N?g-L7gZdDwT)jegfERYd*_%XKY{)Gx^CHnPNzMu6`ESO+3iZTUR%y))jU$0 zKzO{<=kr{kbHr)G2GK23S7Pp9$0OojqO;wtG%R;g-`W^I=GMYUF;c{N302Kc$PqjL zBj^>lO0A;tRiAzn-K$`PWzx}O&?)*Cw@|`stopQV;6*pew$1zc*}dzo3pMu#bC?Ks ztWnf@{^hXZ^F6P`FE16oE4R5})tWY>?r`HN)^ZenXB9 zB-}a6%5%T7z7@DhMxN!kjD4nKp(Kr85lVVm048n&wk7_GKd-_BI7n3(>Hz@t-;F|b6y?s`E*0bax%sF*^Y5P{l1cnT1H z^$;9*qOi5WT*f*RelUQe@klT~3tv72O<6xfq-8BbV4JqcE zsbAquPYtnc1^DW@yJoEKHvXU%u~CHlPLH)&QBsQh`h3F()8h7U^!sJoV(gI^IAvGo zeD$;LdUw|AdgxsI+LW}O{ql6A&40hPCJbKB0D7u%+PdBIW4)tu+Kc)W>-KNWmD$TC z{f4U)F^AIL#QfIItsBvPJU8+I0Qhn>CurDT7J}73}Uh%J|)?)_NNk{_2VyydWrS!<8nWFa88wfm5eS-MD=T* zM4`zV8}9>%8mITv?lACLNO}9=%N5~+m190<#8CIEz{;y`DZeS8gKS`=^sn8$i#=Tx zc>2~o*2BYT{*3sxu;EY8+%-n2fC-7UzgRHtH)+8q&`1$y^=_rK5P%D4%9N87PZfXo zgj?V`{&o6#h#I5aPwr*hYr{%L(vHAOdFGvKZT*e@&DEVx^y4NJCCdK^`NR>wBUp6Q zk?R~5)tbiqToSwSupRq`j>^XqVsQr=GEG@fi9=<&Z!iAD6|g2hqmREC;8h|O2Yx)~ z)?|*9;^5_SRr4A1A%~--_Z_(IICI4BUOHa`vHs(vpl9GWE6Y)}q?Z1dsVVa^z=nxzr-QnV-{0xrr7uK&-q6kiOOVfln8z8tN51eExrHg1wdk z7)#He+iE%}*RlcfYm_S6Q-RXr2}w?rIXS&p_`00@^-9{NYi8yQGrR|Tb1dR4FmzBi zavdLrlau18RQ30(pWL>0a_l_w>61MX^?|_0$Yb7WK-Pe7M<>33J;p6RckmSfWp^buY2VhV$wEB!H?H`j zH%H>nAtU2v6Z)M7@sA_@SwGtX{Is*DhJHZsd(%ZfEhrORF;}OCBcKc^Emk-Jxo=tGy@R;4yIz^-l>~za z(H|}cS`P^RsA2>#yo0_TIuZ^~2)q!Dz!v$++>1{xp%2{k&xZRAul;(tD>%+Hm&cO} z%moZwaiQU1@GIebrPt9cnRT+~itkWf!E;Z}!9eMV|FVL9i<=Jl!BicNoPKrM=h)r; zuTV;f@;GTtPwuEoqGh?CYdqfX56c`^bzd1Rf$y0^X#%cfX>I=4J7j?252?2$epKR*^~K zc76a+bn*2mzMC_bP{I0+v-6=%?`Jtz2-1CnRT+P7@Ua$skh;N(BYDM0e(2@iI+QWk zU*NL}rbWWDFQ4O)2YGGr+~Z?w{e3@ur9o5DexOaJ1%T%@>KpR7$ytCl+udw?#nej6 z(r)sf_E#n@!vLx+(VG?+C|+``JSW>EM92UCn&iE>+{_n zCuEMQaq%t9$EKUQ-k(>0H0-M)bbCUpNwe&IKWuUXU=n!_{utGWUlcP}zAiWI$B*_` zRrFcT&yZ97#IM5jUhcWF-NqeYPiD9L#{RO|dsFd35Xd>B1bDo<8IZ#_XBLXEpA5O& zC|=1@?K2eT-K!>jeYxRpEBQFcP1PR6Ru)iJU5lE?&t2KY9rZm~?t09V-ReW^()voj ztQAV00vQ>C#~lS!n)tihT6=&u5CJN&-h&6o&5m6%Y+2@iWS-h_1BFQUv+EE`L|VM>2+U)E#cD z2s}mS(bQ5{tR3oJwid7!Q`U$JSxtpKW^s4%$Gz5^>vN>7jtI!$82mcMCXIky+eQVX zPE%bEbHHrkLzz#ki8adYV6*;oFjp0VQ{9@-^9$)P6(SgG#2`E!eIVCmSjio@Pz;GN zv^;7si2t_2f`7k~OGiZx>7!|sRBJ-M4m}(qq`4@P$4|Vg^nyHaWgk+03htiCKvi#H zrrwWq(7+$EF#7ea?jrlW2HXH-dFGGCFu?|r&NPZt&(lG0E5ld6ql>|PdWMnwy38* zmw~yRK1@wPz3I@V&`OTFeX&6ryF?vPw$Mn79^}0rC2E3nILw@Rn|^G48Zg2rghyT~ zLW+w5*8bb%22B@+hjB!J{&mM9MSC19L!uLD&!+LU>=)mKVvCp4iPs1AvWX@)al;@5 zpH3P6JwbhR#+v;V`aACO)Ys0EEVJXK8ZP;s*Te)nfg#1Dtm-VzNOMtcwzf)csh?@4&Oy?2K$@B#B^E&|#Q)JKF#YnJ4clu*E~;#(W&6*Lku zz}2)GR#_;*oufFB_hD$N|JjZcN9F3;$lB4)?P+s%e|^osCYz&FK2zjS?q=k~l5Z3# z>O?|KU9PsQkM&iz&Wr_>^Of+S`-X=4k&u#RfcPj1LkB#Rm8bEK{6-Yz!(Enr-7$}t zufSN+DzO^-Fg745ve?!;`<^Fx9w^L_$`&i{cA)%F*2F(!uy*2cC8(eh#$CIQDF6XJ zb5QzMMt&HR!02+jl8nG+^w?E-*9Lx^d8(T+S4LrEM>~>lI?hVHwTuS&2#`Zj8_dfV zahlLG(EnIK!AFW0Q2UjUKJOU1h~Vk3q=4NLpu{)!C4MUO{yGqSL|9rQ6!mVET9uGV zBPDA{QM!WFOdwx1joro4LS2)**{^3P=5RXe1_;xY_0tsIM&zhSRx*wIpIU%AHz$}N z_XGceykh2YhQBybr@ZN2x5I2Z z??c-!T+4>xP`C;Qu|pd#SmtcQ zw>QCVMMcMoN1{r{otEu-RE!Yx1~Sg<6x zhX4VByIXK~mnOm8T^e@@?oMzC(!t%`-QC@xf$8Ml``*l~Su<<+(eznWr>eeFUAy*Y zb%wH@S~;RkYmP)$0ZOU7o0nU5oOq*oDjQ)2HPNs zo;hY4k?21+!+FLezIy)>Vc)1IgrDk`rZIBAsdji^HJJCXQ2^drdh0U9Ng@;a_?g(! z;k%dz39~6aN13>Oa(D}Pj3QXug^^G``z0!8_lJqcf_M#Ngs) z>swb@&rFqqcVO*OZUl><+?CR$C=XnefB8|KCD&Db$$+*qzt8I7ym6Gcy5cWkgYlc* zW%8E``fM9}Vm6;PXYO78mWi^ortm^D)2$OR5pUW2!pfNAuAw!F?8A@ONY7T8=dm=- zH%VK|IR{PYAo_jxIC;WC_sAkd!`v275RJ z42%Oid330{p{$lw_VT6mUp%%6cGwCna?ulphBt?*Nj>gk1-m=HEUvrm50#L@&bu<> zhJ4EhreC*RP`rIb4b*gxJs-V2V_zw{$3hB9@(Z~8OD59mT?&&LGb3GC^9NYa0f zoXh#euCiW-0C(Z&Tcs2j?@l9W#zr?p5bTt(bN6Yk^gyR(^prgCYa)hhaQ<@QhZD5b z5i#8@S8(nOHtfr|;(1hLL25(`_a}f_utm}m|4_|qlf&_mq~SAv#-Uxuj~HlTV#5Ni z{_}{>rzZ?F%RoElNKYt%Cw@=Q$RBCJ-!DGdiQ~7{-4rf9cYRrIYXVb+DcuLAcYR}U zj+Mw`dTkC~vF6zu67%oykt;-?czrHtyrj&cHPg%+2#}k=8ZGd+ePnAlWX;Hg1+!{TltjYZ2Mg!%dTl@!;f_W-=zQX`+U_&SiE+?g*xM@ULimDiY} zLX~q_qtJpKyfXCa-Vd zVCdEB`oXUFi(FF8Iq`W|u0A6!@-9sOSm04a{W2ZU{dun!xcl<0Msv*JDXdg>fq!LB zvjdSBbmUVI)98t`>dqK|osqDp(+2%W<{|m=jefMEZcAGL&1noK$MC7z~nHK9~Zhy92zZFK5u#soR$r7pthm9D|OU@20d;fa+an03P zfa6D8lgn=32W;Wvkh$fGKHPUQvyV5Nlb4Ke0qIqz(UP98a7yZxVkBA_Mel8NuQv$= zCkTp|Hu=z^2qLdsKV`};Vmw`^1q#Ly+db9h0ifE&bwobbNmP^yfQ0tO=RCO>-Jf8O6qyMv@u1M z?{${GC#!+VdZ9*u5UcKm1vq=)sL|XaDJvTTQyH7VnHdmWX%mWplvKMSy|imG*2%DR z)@fJwJgQzpMN(0|H#WGEGL87_hmq4SHl{d6Aj=JzCnMffI6Z`d6wC}%ITEaXCTb1y zE#oCn^i8zK+B>aJAMM<%VKq6cG{KQD`EpRNzRWaq;JEI{J?dVueJ5!5oapQlHn~X~ zQviu*uEKJ7y!pOtEj?AM0$>s zOWSbnQU^Y`$s*WWcnV&YlZ_Wm;ol&D1%Kp;ER#*U_gH=k*y!H%8`o~abkkjF^_qVj zlvcT8tlpd;UEh$5&&{rh=l3vmQ<+h?k~Ru?D)#U##jiD6NrXf8-hAS!8RDhRSg`CJ znu@EabAiRwp;zDF7^+^K!~??=KlQQ_9u)7>@$W}a03wavqUCkOlk~5EP*t!h>+hMd zrrCm{NbNeMeKLzS4CE@8ID8iKC^OWT6Goc6VNm&X6)d%<&y%>$SCMV!MX#q$+kQau zcI3o|S!`;&G|(gZln@aaW9-RcE9VE(6wWLY=f(1gR^{|VD2w|X2ByE7G9D6Pa~mhejbV?aRQmLumfgJY)QlWJ>t!?X8F z>R>u5vFHw8xA>v|Qeq>>mDmlz`u#;!@O%Ms&Ykm@QDmL0uI5}|5$vGkj; zrfEq;J2z@&U$pbHJr;=vBWN+WT71P?&j$(_{+=1lpAV{N`{=8LO`ZR)i#+W~EB=;1KJ1Ww!07&0 zQvXK67ZQa3&z(9j`GSz2z(0-9LkE?h2_h<1E8j_D;UslIGSlDvt=O$9F`AA1Z%^-% zps2?K|Ghs%+6Qz0zx%r>1m8jurgoHA=9z)`09x*#yb^==e@i!+l?-F+x3>+6UC&AD zkiD3^@JUHYacHSXMJRre7@jU|X_7oJjtR^o+U$HPS05p@n-Y5oKMHVdBF?@;%X9vU1JCOT zJ0ttE7Ls;WUdh{&gdqKPDlG*7)R|aQ4WWq;Tg{mn&bmVYp2?A-kGloFTiNG+ygrr) z7?sgC<=ha`+b`vwrpb&mXlvvm3lqS`9{|3dFuRoHd)w?XKQg<-rV+b z$0q6}Bzo=~Ct^S?R4~bmgKE3zR-ip;T9K{`$o7K`3Xh-3y4qMS&(~mMyoaOh^qm|hO_2kl8gNYqO~#*SdM?=>qr7xQobI&>tshG8T?v~6@aF|;_}P> z2q}uDbUq98Gq9ar4t* z??~!cg5;en;?Tp{*bHHr105S*ygdk+bg*w7NAjJ;e9Lz-@!X{k2e*7id*_{@5l=hh zRUFs)3OXNy()nnUt9}smT`HvA=O~wxpR7ttt<&+k0_d7`@QyXvlLE7VVQc$^4W!dh z`{z++T}00n9c#H{d+T3XvsmKB{J-P6Ioxw0VC`MB-CNGO4`-YixLz?{b5W z8zK%o&6S35W{;&hXQ>h8RhV7MwoLWO4tZRDxr<}dE#Bu$SrRT~z>v~~UaLS6txlBJ zgKQAMblmpn<=;-x*Dgi%G0ChEe;QxmqG-EZ0#f5rH{jcaCKI3MpD{wvnW3CN05iM$ zXk=>J9H8z3N(ptCk{+_;yDYX1bn@tpA6*ET0842(NIClsN|GE80K133xK;lFlc!Nh z5tEpJJ>H-%_Lo*UAx~oWRy3-xq7*$8%D1_T`yUoa%V4}bc)kIPtWlE@U)ppdOPsIq z(fsv%&rhJ9*EY>&E_;--F`fQiVXk^9*OtVlI5;42Pu=rJcxNl|E%zJKcO>GbOofUg z9ZsP(3R#bli?-TpJfWM2z_ZaW$=9+SWX=D^D*FX?mDa`Nz>H!# zQx?1SO@9(Z&(=q?k*K+EoDM6N_3X1=CWh^EA?zxkb``DrD3&$6`zp6%I=P%x;dO7%P~_{tI!gCay}_iugpxVkO=^hw5QRX8K3oH(dvS6Ncdm* za^`qD9nkA2yZ0+vVu38A6^y9`F$pnp&T{PZg>m?bOmFI%lN4obDC~~hXUp^kuIqrG z>u;V>PFAYdV`HWWi<`JC@3Q5-qVf(g`H1e}N!8;V^Q8n!L2(o2TkG|wugzBR9FVK= zp4<9i8!_AtK|4f_E-Rgp?8@MG#T1+zxQ?*ZmSOlv`U;0W(Zt5Soj5|(@bvsc?r(7S`bBx)3J6NjycpNM2HG+V=Di>>zak4fg=jei^7Pvkq1 zi?w^(lk{caA2{flbf@PsX(?4txYQ>S7}Q;M#m{jq*3+HvIA^=j0cGBj6Y(ish`jx? zeTFbk9bLO>(P}h%QJs>R7UvNnpyKxrs+Quin(C*h7bU|KP3@u`)*gJV+c{&o+>%dO z$&BlEWwRR?(7^&Fm1!Y~#szo!@JB=9|P5J%P3lUl8N?+C$-&N<{QZ%c2xvAWwZtl*$=j&Ln7wCj2f{Vc4>R>ovr(*X zC!yW)pAJa(%5X|dx(kz$isxdQjzBppBxmQ3(QP$1zr||rT+&T2v0O^(<+$w9(~oJJ zy*yVKR3J800?~>0dga`y1>ftI##OL{1^Eu2UVBqP78;JU;i+}3)VRIGQbWJLfg2p( zmy)691g(fzWr1y^2q~Q%(h(ciCNqP4x!a}0Vm!ZS9O?-x4~ds)U7`1}8!MG7)5y*2 z_4=50UJprfACqT0p@yXuqW6f{T6#40{mwnfMT*cp$9Z)&3#mLkj{N+W!qBt6whK;9 zP5xRcOXlMZt8K`jq+({SmZ7Pl9NpW>st$>&l#Uc+=;s*)0=>uh!TW?H5s92xp+9S- z?dU}Ys%w~$P{W~QyLJXiB&-FRe^kB<=ZIONy!R#kk=9v}fXo?!eqsR2_(L-vy{GVf zUG25;WvBeZU><>09`C?nQ~HV;)ypbM4-5RZS6GP!%v1n_~5R220BEM^$DCl$0czH8iIa z`U!W9v!XVQI5&1+%GJQP(rVuzq($7gF|O9FYuWG#jc|Xsxw79ItU=)Sy6{{uY{*sEfI!`&UY zc`&#w5*7o0La>$Akf6$=(pdtx`O~=SP9GcBV$-VV5&V}x>qN4u_I$6hy)?vs&(F_E zR4A{dx+v00?|D9=J9Nh4O*R6rPyVsw{Yuc?PY*tH9Rz8MB#&YwMf{|pz=bJ{8K=W9 zHmErKu6tJB50-oKm!M?sRin2GURD}@Mp4#>VeeDvO`kn*-lZ7VoZp#&GYZ$0={^v} zTe#NcETW?9XLNA14R7SlJWTQ8^MXSxcawmE6Ax3(N2uWEo$syBKdGH;c>4vAaabr< zps8GgX7&n#pT@`S%`ij6;*gT2LUX5t@Q@uLgDFgcYZ`HPwo$QoAAMG$%DKt&!KL@C z=qkKWc^RE>enb4Kw<8b%AS_}+d>GSl9C-C$cv9^7_+epsF9NnUCd4_TsLGU>I+A;; z|19DN6BFIs$tyzK(_6nIj3lLX8Yvm6$P0Di1b-{TDP#mlZ?IMj4xZf#^5!$O4c!L( z(O5Rk4H@z6xH53BgFeAE#-_yR4L1*c4UzVpNEYaM%;|pao(`qE+$~4Zx)tMh_2wRJ zt-LU#Su1rW4yn01vYnUq@|v6=gH)nmP!9_EJ3Ap)%F8BF4OkWL)KMuZU-U-hz9J$2 z(*H$S<8`6qd|=Q}S#hVT4LQK}FVGZ2w5pKOKx0a)S21ruTEAo|d@@p)+2D$B)6^Hi z(=fWft4c&Q?r*hC(H0M}^FuyWqL6=-r(90^MVbHA%KGsfN$Hg{_4HJUI*sTUIO>$1 zES3#X5YS|brui#BPfW;5ynGS7RJV)m3X1`&Mr>DZk_TgvJ(MPIUM8i)cG5cgY3e9e1nA=!y-jj$^`v2t`PTqvWMB(<0FS1Ag*2e(jnz`*meI3Bt9#=&)nKI_LPFhp zG<01c0SVC$^q)HgJHK}FJj`$nJ3iRcWr??pa*z=iR25qB>Ex68y@(_?hQA%TkwKlvaQmrdIv@moDD!%V| z^WCv7dz&Haiu~!rlpRdisLw!A-~Km8Ac167T5|O%(*Q~KeeG5thxz%yK0t#02*G0j z&?E5z#VF=d2ml50a#&NC(JR!T{bHFp@D_sH<6_CR0#kVk&X6Q=L+H|e8OkR?+hF&P zZSzb;#xeG6j-(1hA|VN^eeSDje4mnx^_QRtsZ`4n zoEF~c(hR&U(w@oDaf<*oy#A~>CLaIsJDhpKE$~AwX9;%+vK-EQjCf;LGXlF(4s@ov z_6u+7`DPX}?nEnh&`hQMQ7OSdsRU46`32KPi|>^OC#*&mA5Iz6_?isJXu%^9j9Z{p^&gP zlMyKz`RJ_PfU_6Fo=rGf4X;3e^6~qjc06TM))pKN`jMjf8ykq&Wod3dg;DiDtorRq zHFyhK$ZB5Akn`Fk+#sS%ZUQ~Wy@}CVYth98`vwoLzYLPhmNzeQ2Yf?NR`g$Dv43G0 zCaH;mm$%t&mL4vp28N+YFVo#enM!u)X|fW6(tD+5wwCNv4^CEoy0uIfuX?B=$unfuKj#=4#e)T8!?3 z|3O_f*nVLWN)%cF;!G%PJ073Zs02H-Gfu1~UF;zCpLXpK7^LxhWh1+8iu1j*$<{D`Nw|r>ufRYDS z7NZmN{mO=L2K1ztC8-xEws7H4xL0|-p6P*CW^)ZPuoHo#{S`Fe5+Q2ZE1eU%Ch_ye zwEmtA@W-PBjd`-b){nG@cjUlAO)ZoS{wrSh@&Vc_=2(GWtOT82FVYPgYnH57v%ouq z+Xt6-dk?S!)}zG<$!%9EhqR|Bl?OVILoIlm4IeIduuz0TO8W+l!oRdBQ~XFPEZcNP zRZ!Mo+?V@2liI!f%GQA)Xv3R_JBKk{M&qL9jj7I;u^PPuU$=hW9HUA!xHFI-{oR=n zwNv)TvCtO5(e7Cr6gS}ep{t$cQP%KsV`e_Q?!!m+cYNxr2yakk_Fpb4n}2Y`5{S{# zKC!jO3P44?w=%=2k4tzh*kBcRSc0V+CrbYs)C8DL>5b7jE9C{E-O5?YpLc_^95>K^ zna_Rv{JVAPnf4TN>ov69^c8nMQ2ere(x>TZW^4QL#IT;{>GK0@`|mp(>>cmka@l8% z#!u1xzljjJ`Q!3VE1I1OVv>nuGT!k~wW?daKq-I^?(Z|^TU$eAjWV_sFA;pMwuS6V z0b@=RHovSWyLFagvbO*+DlyMzyaQIV0rfEZ5e48+I&9w*1SirMQ)EmqckvIT#V*+1%*%Fsh>M$2W^5V(dR^7Jt@?k6491FRs)bY|fmxO*(d7_&uc zGAz3OtOKx&el@(*8A09maQ$U$%`FZLtsincnlB(W)k~tnmb4x6J?; z#TP?Q9vNnEw(k}emew8Ie=3OEw@$2Srjv0u;Q`8c`N6-|Ho9LS?0>b{7$AsZak_RM zHN_iSSfTqbMGM0cAoHYe-s7e0Lz?g}l&&@QkTkL(41cv9H({(jiK z>6q?4Q*p_)_IPJ`NE7JxmDjHb>*}PVYo;xJ`W4W+s)=N2!p`mxr2& zc?syA?Ox)DrIKbBXV$5Y#b$D75#Y;g$TIHu?3l^447SB-o*mm;D@i_*`^)Y^XcQ9A z?8ZPmcASo!g!q~bMuaHYT3nB3Vra=*W*A4>Tx*OvA^Z&RbpCV>t>3Lp4TxKt*wy!S zk$OaP_fa6$LZRr6Y+<2bp$Xd({LyRS{H7>o4-F1)E+>D4q(~VrzUq@Ns$ktU3Nr4; z&=Rzb>dz0Qf5ekSqY=AQXZla_vq^;tm==RR2b%`FTZbLI%Sium0GG31bWXC7s z*2gu8*){&iN&_z%lh22-kJHQ=7heIH+KGzyN_jxkLkh?2A-jo%oHup%$3NdLi4$*!np&#kn_6khp%^NB7-Y|k zy?1nBR`14=Bk?9`N%QO! z-`bs6Z?K-eo9pMUPGDP#sBJBN&n%z*50%DJ-=XwzIb9AN+Q}92hlk|E9+U>xzm$Ss z#rc@y4!kV%Z3}*#mR`s_TH`%cx0kwGoZ{coqSvAK6zx>q6(U7ETaqqK>8!t($#A_~BI(IWr54l&I5_5c zFl{#&=09$aNf9NMx7Rjdd0bC(I}4AQcCKsY_p30VjJ-Q;;&oa|%b&;M^*fr}t$1JC zihJ>|!h%&_1oybw;xWOBs;a7}iWS`DyJFo3d1Hp*z=zArONjAw-jW@1dM!HdxnQUl zoU>a>oxA9_uN{PhQNFw{8JDX_^Z7Mh&K>%%0Y%dV4+WEf;WP+?^Q3A7bZh-U?M3)!1z^ij4(F zJpoVRar{R8LUa0a!MSwXoy6&HS6Bo(GS}lRrZ6t>yGsiGHadW&U zYsbsI~9BQ)=^!dhXLNQCs`Y}KLso={h$cz|?2JMVuWPT zd07uvd%G{}5LVptJoYUQYi!@m`l6la5*V$}puQ02S&MeZ|2^NrN-=@UiWd$C8){b> z<{H4QK^u5F5qbPu({!1?K*BDw?fCO;`bq(Ewq&1a@~==YO?ijH z@Q%=B6TN>mTzXX;i2vQ?sW8vnyrZkf%LyL#d?5<`SE;k590GfALd-}X)OmMojs}r4 zAw7>NewI^;Vi2gv6xZzKm^{uF z&24qjAuhwszZF}Ub>m@Hem0tJuDwM~xGAPV&Z=v$WWBKQl?7EF@5grTm7~!h&7|g< ze>wWVfq5ch(mnLX?ZBVZZ)6N;$wlUxhH-Lhn7Sdq2P{&2Jm2up?L&m{TS0elmbbeKdwa3}t zX-UBMk#@*2r)O2sDJea7EA5i2pg}05iPmWXox253`AR$Goz=#!WdJT+6rU@-ZD5S?r`*MiYeJaqppGCUcQurST~ z+fo3j01+%JMeT)+@_B4=EU}HlRst03ZAp0q%<8LLx-43=A?*5aX=1AmmIeR=fCP_g zs*$8?yj@gAA6-EI8wK6W2J0@)bUw7_y5NDkvc<>Q2u9}Du*p8Ta#0?76Gr{Y=HCiA zO~}#zV1fOujrb>?N$T_--97psdmFC*mIRJ;!=EL=zz`|zR7LLuS5kmQH=d!ENTL}w zW7c=bsheF|()-wb(~~u#1~$E$rfO_ujbZ4G5LERHJ-UFO1DSn|%OSbYYZoaPe)hp> zb%4?fpu8q;wV(tmyZmzOt&@@Y4f~c~vAp3m!Nl~a5Q^e@fg8V4P+XnT8gd6Mef5e7@T_$ZoD%k+BRu=k;5Ox)q~Ihd9b7dEu*&Z@$KSf=F#BW0Z{ z6TQtV;eA$da#x!D(<}dOOq%cn?7Gl1bX+naW9Yz|+-~4mxeuDODUlWj+Guo_F3RK8 zq9?>M-a#}Eyab&X$S?x z$YqWMF>z`5ZCAqN=4Em2ao_w$(v;K>CXh(!VH?T_^n&|a!w2{)o&T9j5hI9^4be_> zy!z$aB`jUBag{z&SzkE=c#z3NRh;4E|C>Rx*>@F#5NTDQlbnU!gadp34?`dtHaiV2xq6b!hH$jWNGxYE$+7M4_I(y zL{8$0Fm@5>3*JH)@79K9wRiFCI7i4bnVqEtoczuP0&Okdx4@@`75rqqODpxGR*zM#bMyNBKSKCHoVN578Jz-Af_ z#=>q>WxnA!9@SoxD{zs`d%8_;OW~%BWZ%llbqJtr4DoKBza!$7e@^K_Mmog1|Bb%Lz0_4S8LvarYhQZ?8j7~L(H`?Pm2|z|{4{Bcx8-KIh>!Nu#O`v9x>l3L zZ`H=Sf93pKW+5QU2vEIl78)jVr|;ju23v zoeYM_5+OL{NAi4c`7pJob<;FftmcdkE1C0Dj0`WrsV zzm3@hKnKs~#xgSk53_`3G!5GA^cvEAGJD&~5xIMTCWH%>VPl0KfNMvbI))(ak9&P; z%N>+9p}o0(VM)@QG>hE1V z^uLP1Ugi@GOAn54lU_2*?{C_mwo91ieOPFSEc{Rl;YTA3 z48I78Anc%1ieG%B9VGGUSRq*kWf^~BWhcDS9OG8Hi#e3pjpgm-Ami>}9F7a?m#2WpM zH{3H^KU*xTa$&qDs(0a9<7@Lc(M_pf=09tt-nOEMWq*O4G8}F9e5lot+2M;Vyl%)) zL@H}A^fP}C<2J$zpJM=-`0d49^mzl`SU74F0CBUAunURdBj7%>$}+ z7M9?>p`*Mval!rqrS@TtBw#;r`kIsF17&dQsq9xBLOT-yeCN%En+Dvkn_~hK|2%&k zL3I`1)t#oyWtKJu^yim%(UrI=GaHC@6GgLehV?LJ^W<-Bi0YLRS)68HIydJuYLNNN z&-O~sTw)P8(O!q+e{uzyq^y9N42mWaNXzf8c8km`W$d!eoG0(f^>1B{BpjgU=j+ya zuur5QK6_j`e%C`(SGTmcXkV=t=|=Eu)jwLSrnXlb@+|NeUp}gv)t&+gX2K(2nH8Q_ zD>d7!2$n{@EnmXtv|W9Fx`|Hx<4)*?vlB(NLy&Mc{Y0M>-j64r=tV00rZ%~cArm3dco&;4@skraJpq?}e(*6R{P% z*T3TUsja*#&sE0()!l|q>+th2P_FlOOo+8S_WSsQLH&an?+5&xyBnyodhFkD^nIt9#eb z?Yd1*Q2Tc`=-a$kD14hiafYhrZ*977p4WEW;RGq3+BA9D3>ll0PpEboKe#s!Q9kFs zo!uzVy~yd(hGYZmL%EB$$tP0BS_F9x;Gm0TVB~dB`cY*~`q6_p_E*S4?86$IZ$ViV^= zg+Nh_LCi5LuqB$gZ{vm|%|mlbB5uH!BfjQLMf}{G9Z3*Hry2e7SXZS(;^S~YZhw~v zb@q-k9xZtS@QRjr^lbaW7M0%3>v-XHaeTwi4IkS?{nP%t#FfxmHpOGvS%1bA2R?Q` z=jWb>nXh}|NAGJMOhhIM*J#06e(IJ21!c$TUlC3hp(z6N!j%qGl@OuJ@wlDvgbT6f z4kfot_AbV1D4b3!h%mF>1dZOO<*-P3NB+a$l4_(Y1n)d7zEzFkxBwx(InnvO=!D`m zNOGLAr~gl`-NcTfa1x5UO0S2p6G@S|e3rnZ-&$Jh6YMZ^ehB^A9)wUPvB+L6>P&2i zeZO1biRVP}DsbgaD|y1Od-P7u>py>KJBjxD&BrqiW3ukFMYLZh*R`XF#8lP~Oi8SU z-WwfJN=8=1>Q!-qN~)qzC2BXaMyh^QosKO*TW79&vw%SJT~Zan7EZ(O*dMDZzXbMO zp^g$nw-R+V8`MgNy{xk+pLxn6o!DUZXMpe6U|})+*8gQb|I7D z9uVI}dZz}GrKfdTYSdAi$o}G+j9@=4JfXaXqNde|uf6#aWMDQh?2?v&`f~549%X%Huo}HFD6t`|Gm*cm}H3 zQJSa>jR!4>h&9qqIy!424W26AyT*=ay`Afh`VvheoPCD~p-m3%Io{v-79A^Gh^*H0 z2uJ-514AXm&yi00|6z}@)x_SXpAp4)RWmIrlh@U}Zyqtq3k4!umLwR7Ct>p2IB7^0 z&0?x5YbMp_NB|ZGtcpfY_qQzaj+dO>Cx5d5;$9d^N(mk%Fcu$m_gckhOe@u4jm|1Q zfn2`5n*2Thk8>0uQSRkvfd)f`fTzrxgGp&=+7P9OrJv(&kAYij)KewkfBcC5CzDaa z!%pvxX0`>r%1B^Pm^7bPq4EDJ1WyMw!)S%DNs#ao;hE{&j2+ANM)1egxt6e`N3L6< z;BgeTacxdMfA>*$ON%H2vsY%lsDpVIBC#oiY~<=Kofa526TaD*zVp+2ZoNgvQv_emJGYF|-s7}x%RZbVZ0=Ajxv zb=@*)UEdNjQDn!$gdOq3?@o(V+;TYkB_&n-c3w!LWmt10Wc{PWAtrskt{@KBFIv6) zga}GkfZUx;@Q$RtJ#k0giGDLTt}pMjmxEooE_8*CP8+|d+-aU`ErH)08@z2sH^`AS zim$l-#WjfVNr9I;qT4>t&)FXNc0pc$C0@skNh0vSXxbz;3Kp`Ke*J^|Jn@iXjG;x< zp^#T2OVu8VZY;B=X$ zrP0r`b?Nhui(2<(+U|1LYM;d$W-yrvhkUiv7p1)PV}nz0J!U+2QWRArAuNqAA%dtX z&k=5NO3bNq%qG9`;TN92YQK)5^uVkB$LK3>kv?9<9VVUG=%0v+phTscGykD`(!O@7 zegFl86o-^5(H{lPkyf95uO2J?H&R$}L8=9Q<*YmqhX#$b0&NIe)#*(Vb;5K{<@vsJ zjpoZ8H1kcy!^^q6<3;&l{XaI7B3^s=zcgIae8TO#$&;;>tT&9Xp|(saT=E}`9wdir ze5d|~W{FZjr0{X$9uf-$V=-a3UT8VW&t?!Nb{chnVu=x2*OS!$TlnF&Jq07D!2+Sy zu=RvcB+o=(D{{5G7(kiYAJU7W86$wGCkq(cejy6EsMD_sn5dm=DwE;y@_^pESaZ9G z3JQ48IKM^x+;}3`%C%2r&=lpP_UxK(gQL~snpY`NV*gUnKlv{gU{uORwi6xy|3!x{ z=XE_u37%RX@vY_=ELR+Sgn9OuXh%^-yM~Wlco;|;{qcAv`+2J(3LQztE&AojOQ9Mp z-7OH~9D=H^UD+2X(SIF3dxX}cbH8b@sN{w4;e^$~e=t2k2ogzMftcBiPAHVcK5>ud zl>Dh;qa#x>U85=75|t1EXf)cYh2nl`T#|sv67v5$FU7k8RJgvw-idBqzlFR)N}lCC zK0(w&nF&$xlZ4afOUXJ{wA)&S2ehMo*8z0_!sSp7&q3yuuu{{ z(I+UP^@^4lPq%|ph}&h%UMs{60=KsX zN7-&eUQ*=#1zuSw#r!6V4M(E**HHyam*FZFz}I^%eu zhVr%Q6_Gj^2jX{lz2SbvM!^+`R&9!vTwo8pP1E}}Kh2lkIJu(hvB1f7?PT*|b2EhJ zY2p{gSov7byAOa0;(zI|2E?_$`8HciK6c}6{Uj z*3&oB^OgBmliKKq#7tB`1*LkWoP)o^ui=jkpWA}L-_7P);dfi$7#Qaa?uT8t&J|oz zy$`}G0j#K7UUDQ*%b+H7A8){`;3&)3IGHu4q#9i1xtPw{t5tCJuy%#Dpj{`aN2%k+OmdksJiT0Y1Lb8b(mJxCee7&+G*%kY534QytsiO z^oc$Vrg|9s0)_SC8HI8FXu&{g^fF`Y0fqGJA(PAG0ST3+A|P9?(c}fa(ZpNP!fV=j zvrmhos=h;7o8jTc6T+Ku+K3@<6fZhJ+=p8KVmG5JIFgW^GNb!-1RJmdw{F9r2S#D_ z$?~Ot2f2i*5E4XyQV%UXg)y(_@4t|eSjU+T3yUBeW4JF;i$Q(>&LeumChtX z3!?5G9ciyne9VGWg|rwc_8O4`?41xc*w13R9^-U3MR|5Wf8&@9FD)%i<3*-|XDsj~ zYJm(=Y!|x06P&Y>WySClnNiAY=%TzjjpHFdoQ%6v_FK;wmv) zJC?2e97watZ${!}D)7Sb93h3cHA)R_>6b<0WX$HCpgDyplItHYsvfU80X}HimqsVk z;Y1dtOS!`Kn4lMxX6r~Kb$@s0>V;!1SH{8;nm3RZN{FC|1aGd6a^^Tr)jP+OXC|8( z!=e8aAV3qfuZ6RK8fhR`P+=m12p=Ww$)8KO>YPrhmEMm}rX8Z6iVWsl^!t_1vJ=Va!5 z5}gcd;HV!DsUL48ep?*UL{GIP0ZAbJWxstl4lS|pbaT6JaWmceqE&m7uN3u?9X!ln zF~5>^FyDaUDaHf5o$(@hF1uOsp559FbT_;;&WIuBN-&6=$Us)J04SAw;22Tfo55i9 zT!c4D_lT7_0rM*6war(?lL_qe_whzHa=hM)9noy%5HD%85<&X)`SMi|A^X_tb!Ldh z;wTCz@X@0viPv%hpV=+|yty`Qvp0%(r*hrDVSZ#1%S%us4LO*++8LlHc&9jgg29^W zkZ-Oza*1+OkQOYqzn^--Ef9K|)1OAU-$-TSb`P3J4s9{gY7nuIZz$oH98~MHXu-tB zEo$$KUZiunlP}!qmvGix`}4rnH~IIW;+-}bGWu8ZUQt3Oc!=GQ9VQbD53=J?f(_%y zYdm2*M_WqwzA+8NWRx$1Gm4)9{FUN`E#)LG6g1jO&gQYq-06z94L;VY3VGQM0*+-u z8*lr;Sy6>N`9860G_;^rEt9*tqKy!W$8tbHI!?qUskSa41%0+eF!;}-7!-%hxy1<; zoJZYMorXXiz$AmvG(vHXo5F_x}gxby~w|Yj9 zIJWx39Ze67h?Cc7utVH}u<;I6>A3E!R5=46=P40I{aDz-vGM$iG;HJ}7=6TwMESDg zkJvK-%FEFGljqzkD`s^Ce7^-PqD=-4hE*m30*C&0z=;9o<=Ewzn4;};eULQ{sX$G< zgJ;5STa9)K-0wlf&Q82XT2f)I!k6jXy|$R*Ju2PJq!~&h`2S)7Dx5psI6&=R5aZCBVS!ghc5d>7|4r(reQoD)+Bd6lS$)HJyg4Wsk_yk?7>r+RvI?peu{0#OJ;h_(V|hyH1k%f#3ui(!ByT zXAhAN`2gXx8&k1XL(&_qx=6cvrgMGYTVYZ|4CCjNp@_WdC}6cjz$ z8%HSHg!)7J>|F@hRR+9)Q4*aH_=7hwF>Z06jyorj*u^q;8QlNPq>a| zXCs!X8XVL#6b;2q(dnmU@#3u4$jWLZdcDB5z0J}>lx)`YO|(DF`Vf4~hP5RqREtt{ z-SPl6hJ;V?D9@RVCJ(8s8OPDp2Ud7rN)ruMJmNzj&u0*_^w(d~iy!SKZ`>_)<>?mP zbb`HI6%rpf%&yZsM8qJ#+}`8ogVs(_jSeiYKl+aOy=DL#m-?w5Zqf0}Ss3j?(~Xp+ zl`a#o&7NEm@6kbuX6E#%IF=$~;W77-HCm-KhvdseKMbnn`{Mq7<3lDVe`fN7>$B9h z>wIo`cL}~OPt12LTFPSZW+UJ+P+L0EN=)r!LhzrRp&kXA$j9yvAdYaDW%jxDkVqXLbdwMT5s%Sib4;tbS6-O7NwFNvyNx%i zjLthP7c8Jza&pky=VC}s({+#s6`iMbbNaRA=E2$M@v%QXCjT0yPIln`j%h}2O^|k> ziijaQoX@uf>R`u7y!?KBi`5S`;G^JvmK~p12Xam6#U@ANGp$Q@BPvz8>*YfXcNsY% zAh0og)oDk0owxv-jj&3j?PiE})47nidgg{r4H43)LMUWgN2$3F{?Z>`6cDF5D7%IB zlbE+;*k|Mr^uQT>L7dr{nD>vdc*cw0gzj{-obYMf(7>x;UbRI7M|j-zF8 zRJ2rqK6_|lau{ro!VS8y;;XsO=U#D->_l!JF;%>el)gUdja<~YuX^#|b11INFOxcf z`y;I4a5gOC=%fM}PV-M)m;Zyhw~mYIUE9SmP(cw95ox6xk!}zH>1Jpohwg4AC8e98 zyJ6@MDd`63u0e)whB-6fckg{Z``zd4{eI5*#rg+WJRR44UH5aZwWQ=~Tuiv?_InpVkA1ck6)>5wy}3 zU=aD1j_3mHE5Eh%#7b6uXG&cMjBBTht?f^*O;g&k@JAI^)fDwl< zEpOLK&}54)1Jjt%61OULsSwrI2If~`EEl8&;?usfZG8UxY%MM052FHfFBdeRoC2V` zt+f(#h{E9ywtJ%?dCw4~-jW6+*XlNt9n|dzWP7V{V)M9aOKD!&vchRQ=GSz+ z-nHl2$H;d_8__BSbEk?FlH8TYBF84g`6|K!O3M+b_`gUMA|m?7^dS!nKSuVU2N4zX zh@B{!M}v5uC?{GPTOle+tFXp#Lg_Tzot)uV$Z|n^T-eK?C^4sGXtmkLp>34;0(RbO zKxgPw`Vteey@LrE>tQx!p3ot&bQekSpes}b_28@S?{C0oS7uuf#d%lgJ|Obe9cTxE zokKzXypEab=Po~4igJma^HQFARVd9A+W+9zKr1~~Q2ms4_pyL!&DfkkO34^-cX;Ir zxgn{UjE3&KicPWoNR=WK^rU*7fw{NEGmuyDDK(c^B;qBlTHP7`WU-qyo(Uqe?tom%FcBGFi3&da6yG7BB$V@kBDqh&65omXcv0T)fxU`%0J+Og$QwgY_rT+Ur`c4w zy*8~MDHB$yNj2k?t4|p4N`3`QY<{V;{RBK(?iHWh`K4Z_T#nZZ00>x)1+FDvH}pSEMr{C(R-@$jAtE_8SDkch~x zgKfSqo$(b?eOU7$Hnftzv$)N;rZ7h2jW9#Xs9VCoxT)g~j<&J+itB|LcMpz95S5m| zcyXwIzNbpIZY)IA{E%-@mjSml>D8o zyF;8@=CHs&?dsWkcZl*@M@B{@79J`4JEhWvIYAMK@IR|Lmd+R}%XrBJWYbYll-U1? zyKpFr8lD7uAD#^#UY zLuj7bP(`{f>c;&hb*;1IqXe{zPa_zRv0B7e%9G4>cN}1fIuJn>a5NgS0qBSZoW^-5 zxV@X+nxAZ$%$f7r3g;*pjlc~sIrDP-8iF7}-oXgS{TJYXqxRndhg}NSvkn@32SW`J z|1rhGX3WjjUczZYH~@NKYfWBkzjSLX5&Zd+=80#M$DwrM2DA3Qm_l@|VKbu+EX~sS zf+K~o4XVvRJT^IlU3Cs?MK9n`0hvq7z5nsFL)MaRm$cd`b-F0VOKrR!sCXV-sbu%* z)E0^~AxapCUbiKG6)@Y!7r=1&Eebw3{03B&<=d0vA-Xomug+~a>$VMp1dCZtKEwbG z{brW;vlNLa_MLR4p?@p)*^dOQ0fB;g4jhrC$??_p!9{q@7;U} ze=Bl^3&>NgH`9areTD2F@39} zV@a?sgGdgzr8s}FjGOdGR}SB^q0jjA3=GBfl7jq=b~-kF?yvq!wL}dO{efsa!T<+h z4Ix)8iuJue3Wsx0Z4Pye9xYMLzYsMJgJl!>U++T|S`O<2>QSG=D}JX3DJL7 zLVF!sl_#4B-|bj|r#BK?j;9OYD}fSWfO72Li$)a)2bqruZNcZ`U>Qhe2I&wMvfgx zxBC*#DqoiFkACa2RH75Wbn!nPyN3xLU6PG8ZRn*eY8v|u4F@~6sdq3X7HM?NXBB2z z_(6^T32kH=3gStRH*Kzwvloqvn5HMaGF(|v1FuCMXdK4IujvSUNTqogolMrPJ~D3z z+%L?OYvD%6AYm#MU{r*=ksfs|7vi`xW^4Q%d7N&L$HWCu;&%&#<#=VZkE#5PMjnl- zGCHY~NP^EWnRz^1f3GN!JL%2OCp{1b(mM&E|NmDMht%>vr8rw} zh;*)#MWq{*yGAI^eG3o2C0zWjBSwFVL)#%Bg>_9a2H}+dA%W<9+6B@!`+_|Mq_rkw z6@%4w#|=VWmJld@nySQo@;rU%aKiYk@qMrGh3WK$2U|amU!t%UGdd#YqAh~8=RMhv zTrQ~d$0rwJDQxh?5B*2%NN2`9Vro|J@kR`=92Y{ucqdZ)kv0W?Nt?epi)Rsj*}PVF zk2;HZo`2U9vo~PZu|LrCPkN`b_Mji5vR4!-{83PF5U7Rx`5gn%Rpc+$_b5Ccz;a1q z0m?V_4dtpB2XDw}cedo)x1oX(q$Wl2ZYh3z*VP#I;9wuDEXIJmg>q$q!{w8j>R4Z} zee?ICRcLVgO^J&S5M4xG|EPYng<)X3%evrmoKqn3e}er;FFfMJ899vIy|VC~u#%gjKo(@pf_wj{k0l|-C0Hw9Rl1#B(TYSN4=vJ*=K~QMlVugOUF}fsZjZ{xUk^~GB?T-I%Yqfl!1bR zwr`0FRG;1@6g1p$LdzgTN0oy(qL7JR6rbVQ=dzqGK}{u6yve`bc?Sz#+2_d&P)*de?-A%!2z8sY0bc-uEytMK^e)L`K^ww9vZ<3p{)} zJ~zo033QnBfwXopBkPGyiwamp`OrfOpzIo9=h|Wc(Mdr+h^vx|w5^ahI&X zR(MnHnzhgMe%?jb)UH}CGGm%?_NZz=Gb1p) zbPy(<3%^jYVmMP3u;k8Q6(_zN8o~QM*I%XPj%`*6D};qHg0cZ#PliLoLzP%$#f@agEmrdjzNP>{ zZA!*!P+Q=EX}_y&iRbZd)*GiVrP0@wGDP#)#a(9I>r2tRknKcq_yikjX940YgC;FMmg88Bf-b9 zQ9V1vm@CsMkUyg{Fsx-qBLAI=$z&Mr2>En?CvJ4k@jFdh*lKu)G5vryNuvV$xT~vl ztKZP9>NI*4dqN0i*(}+{RLsyjr4Ur#uF~A+W(2pIPDEIh@cCRU3y%Z#%?!Fh%OnRu z5`O0vev_-{b%9r8R^qlmUFYar}%(24A1B1V#Z78C>DOIGrHEqSaOXLs8NmlE>bhvnFM z@^wN?`i^Ak*ceme_(rUFeP+5aPjhl92gpOklL-cTz+Uz;$^2k)3yU!SS@BR#sh86G z%EA{*ikw3rgqDVFggAQb$?{!aqjfx~RSpMZMo<_=&8L8s2u@NJWO4-)2y?+!ZAL_B zcp3Yr;BPu7;uhC>>9NgwjbBJ}O$0rH$t$liOUD8qUeNqnC>m{O9^JO;8$Nu~fBXYu zsbY0INm0fS3NOtWycFV}oXgBD&0`85Bjbi@i4lv~6S8@a(jcBigp+YmM0J0_zgaYl z6rg2Kq3=I&2U7-S1xdSGL@>d7@!256M~OdU{4nU|%@U{vQd3u_KwMY-WlD!$X}O9+ ziyST`^7p5Ig18blLPf$%CgYN&w%Dm@!_> zErH^ZB7qZX3%Psepr&Q_dFQFzFdC6&)A?#2b@u%zap@N+4R`J|<#3{#R9q_T$x!_21c#iI;=H*TW*andG{m`9bz zOD7v=!K*L!;jtC&_{tHq@8QVUf6 zeXcfSv^2aPTwr%?@15NFUSz2@KDezZ19pxn;fXr&l-~;wDk^0k;vqF+fMlB_`gKv1oJ^ugFO2L7rNi z8LRQy6F%Nc<$kkCQCUY?B}thu!gt+zJx8o*8<@U1yJj~=H8&RoR`|LsI7ZRIWjuOR@)qX6Cx1CSiYrvafM%5pRu z&BD@R?{A?_f$2G5FKhdYE{m6CHMm~%uKNUtJo4;bV!dm9=4VD7FI%b85xaZMk5zY z!71@3r?kqWO*h2^C&KM>_1!L~YpKs)jyF1rDp~d)vO~P_x7Ob@jWM?iE7Z%}SI<&J z1umsREgbG3+yJ_0uydJl=%*M%2X2`IW-eJFFXzf#=g^b zk7#pTWtJ>ACzfm!wT;(U#Jk%?+Ajv?GHwwSep9e~;8gvS1&zdPAU8kAbW{_=$0PfQ zZ2D-&Z8}@MzCc<_E%_^|S?Rd^q!Y$aRk+SjVKWD$hmv1n{vd@tdrNcYe85^jAU$=r zHbtfz|9SBdAG!L?qE2uhwxc8Vqe4D;=V{Mxz)IZ12|F3 zApsIAw|0(LRk1Bsqbl2l94$lLPs9(DY9*Tn{KL;#|tiF*pjP*2q zX%H*pUihUW(0{FP80V-|xb0}t-=zcTk4lJ?su;J*{fs$VaN^mKg619ku#^eWTknh* z+aR~4x4QCetxS-)Ru_U7>J|qjrJb!W5fLUD^4bG;_0Ota<gXGA|wDO#Nu=4&E#{Cv>|ZyH5m%2Vf(mo%Iq=@IMtvmbeoqMh#tR~oMWOZ ztPbZ*7TF~mG^+QQpK;;gq;R2GF0e^-9k0y*O`1e{^*!on;wH6HlHiASpg#im#ryCVa747xFmmG4OExNe<5| z!DlfEnVvq_Nn*+ahzc^Wb4{l z$xXiG=S(dsb~%>_wuvYP$v@kJ+g)7Fm2_!vloTQN$>$|+(iQ35yTC=f12)D%=~t%! zhmsSTU|oBa3E&Si7Vda(BqS{QqNc~fe5P7@czAeR>wz32f&rI6pCikyePicy)93an?xgm9zFgx zu4FQ(K)R8yb6je+Q-SF!Pry=;i=>e#C_Nbmck9{S`{XvItF27;s4(2&6ANfbDBV@G zs36wKne^ghq&&D$7tn%`JABeD9mg>q-ycugJ5q9+#c2~50pJ_gV^bmtJnP1Rf*Q{e z^}j|D{~)B)1j;Fqj;c5@9{$*H(OIlqPtNuHxOY~J)kuzMYR7SEr^&w8t9mm6F z=`m<1zHkTeu3QMqvTpz7R$fI2p<1V-N11c*d$DT}rBe;0#t%f>I^=!RXraBWmSa2%{s}EtH_70na%~$92uj9ITe@mY#9ZOxK%&pMNQxT-{>Y z%HE1{m=VDLSzvFG=mesq=gzBk;w_yjl^SK}cQV`062Gvv62S&5cDFDM40Fp@=C zL*<&n&R!OL#B`nP2=Y%c*meKPxHT&s$5GuKT_tKo&zJV{RC@I6v({L(768L-Rd=eX zdizj0AVw=9)0S`?GuSJ(ZacJMlDW6A8wDd+X1GRDg)ZW7_GU6H^ZGzS91oq8N^@i^ zG|JWT2kdu378v34`r~s}xv^IK6u@cr;$O*`Momoe)?EBksV>GojzBK3L+7*OvW?Vb z%Uurn!gpC&FmW98O|{GB3Adzs<8H=0=LzI4z6BeBlG!@*qdl2iIIpmf9ro@qq&bWw zb{NkG==W=$6<4P`8tHLRxa@Mvcj|mD4YN#=IrA!A7|meit`6hvQT`$hR_Mjvwmv%1RY)pCgJ~fN6PCh?A;M1-OJ<4?p$=w( z!K|>W3l52fRrQWveFs)EI&lUiBip@-W47K}$2}Y#jCP-Zp+v6=qCiq3nWgSUF=n2V zr2-zA*}J7<>9#s#1Np095=g+9wH8ZSu)2sC-NkHXaYv@`=O5+Qxjg_nC1;Bb&?3jB+AG9bum8eiw(*-fR3}Z!ZH8lGByrDs5DlW>mIkQ4?Yjd}1 zYMU!CorRL#9lSK$88K`74S~;sxErYLx(G4K5iW7CiNXXi1HK7hp%yF=M=qA(nQ(~+ zzJ^dV%rQ1J#aW&YI;KQq{a(2+T*Ah;18X@pXnBb87P$xzDaS^T9hzlLSWFjabqzo~ zcluq;d*u8~15|b;qDFt#-n2sL%e#TJ%RIGkl?wM3neA|3p+l`mSbONjT3YlfSiY-r zhI)2cZh0NdZEjI~nRuqj`9UVAx6RbBuYK3?*UqtW(J`A!i0liyRfs*!I-%>_LyH^)CH)WHa7jk?k} zRjS%@ft>*>`~x1+IrL&qGZa>xidjhDXP`pk zysE1p1Jq4t<)MF%u)+)_u{!+lgGV!@%s<3jwfu0eMtbsiE_Xv?vDndxKhvy@tLeu3 zwdmQC?9ty!G+vmmXs2SXV!9AEjn|@e-PBcZnAoA-d>-xU^eYD^$!^SimI9r<@Rzr? z>UuiHbjsRTIiB#CzG$lh_=Ji^1Lw&mmj2NXfl?(4#jt@a!jWp_T{Gw`&yn{EMnCbm zYAOyMHgc@JB@|A6Z_5KxT-hf2{1!}SzP>Kjqyl?2#<>FNhsSoSw|wEJ7kms7nflw& zhqPNyxeS%NzE-j|OJ6IlNLSqV?J3mMUt1x{$fJ~2nxq%+A20AAIJYE9^YS=JIeFS5 zES>NXDJW2CBW$H)G+vmts7q^WrDgXPO-D@0U~Bm2jVGMg@?Mt5a>12MN0}k`h(21H z854-8#+sgJnN1vs4 zqPm5#+g+-ZiKFni&2gM=L%Os7a|)Z|r#vXkI+FOfQOif4pqt~;_k?(FWX-3Zt|-Ig zVvHD82gO9?ei!ZP4q~VG(%IduqF%o)R08?l>}G40wIt}tBl%O^ILKOU@gK-St(yGa zYoQLN6%}q6XV}=CQH6`*?G^Jf_utDAu=M&PBqc$kD+Y|n<&gnxGM z@h~K_hrQx9(3s+%2-ufO!mk$|OXPE7lL)NHEonKW@bG$FSzmb5kLZZ(5z%T<$+*fZ^wp<5NFjxPwoPG07-F$gZ0!3{(P>6B?jNa zt$_`Gz(&9(=lEw!C-v1{uKHdJ`S_|V(p`e`eL(~dIOH)_ zBu1y!tdo1(RXNT*ODeW33bU1yIGD~`nX-6cX+v#us7_Q}J>enk&PYf~C87Z+R(Zb{ zns{YQH9kyZ=*#*|d25<=mtR^HQvCT;pcGA>Yx-a~*wt`^9F;z`5G%BZkyjHFwYpio zMz&eV!89SJ{+OkSbz4okgd~$gR0QFO$p%+K$5-JJCmNtJSn*YKy+z%8V$1&3GsCHz zFJ0W68(Pw-*P-qK0wrpE1U-?qa$@f94Pv5WpP5YPLt>j%2_QP-ACT$3C2#@yjk4DeLVQ+wPpeBn#RO_AhYNe=8~ z>2Z`$3*a!74U;BfH2X%-7%UfS5#({A5H|kKIbKw!UV%yWRRPi5!_5nV62R`s@v32D zV`i`p6%a~u;U{1+KsAUQ^R#tpx0B<%LYXFfv-KZV;GGZOR7#?z1?DB2SYnMKPBZpD z;BK(gm)XPR4y*Dd&k1dN3c|2~X6{K>xt&P{Xpdq5b)B?o6VMvA{jW9AQyX{q_T_l| zTqz-4Kq_O;<_%VHTh3sTO!Ju+xzYN^2O~qc{1a;}UfJRE9vY*&UK+s{e3^CO4vH3~ zogF2QALW&*ON=jNvDo(TaKr<1H|2s>Nwf-Qt;o|{ufTS0pGyfiEVQL#1ecEyjTaoJ z>sqZ6Nk1tZUmZtPukR}$O4wm7Hw;uyF=6VeBr!`kl+9)*$}CA=OWLjWua@^U%y+aJ zRQd^KP)@)l9Q;+I;x_i-bbq22ULqpC?Ez_ zF-M>6lKQGbs2*NnW&RaV*g^vQS=iOfi5YK@t-IoY`pXlVYPcD=itlV9B)zRmox z{)-&M>yrBi#}=nwqE~0pyrH?Aty|1dPqClbdo;H{@qtf|I5%C3bTtkvrV&N%7wwT0 zL~NFVEwC9i&J|>ePn)!o#cnPh&D-{36T#<}mM6O#vi%o+z1Qh;5glYDE)|j&S7&xg zKM8m;rmyvdcHijKn&NJn+tSS>DAO(2*N6qyV>T!;byWD-y}OH5jatin)X;5vLAZt_N*T#EqujCl)BqaJ%%=_Oj#fOnk@I0NW0` zB|6Y6Mv7l!rLaP_#Ky8?#nmnm%UunJ`G`we)=P$EAu$7O>*cr!&qu}7CXF3)_vcMH zi_xa1KW=BlNJ3nOcFzRT_7Ic0z{F0CzNCRw!*HtFA}J#?6LAoirs-%tSCp62&lI12 zybs1X$Zr2aiqsUa_&M8`u%w{X(T?UAT9A5=DFE+H$)bLy~kZDeTp^4vAq z;bg<$+VGlbAex4;#P)GZponM~;Qfz22xDgF-^0mnALC)k$-B-br;4f9k#A9~_dp%8ogzMp1#^!}k;JHAS|mof zUuceXE!0TD?nRvn|M7dql6##Zeue%GxZ22|L?v)AU8(xru`)G52f!VrM|Xz)s=?+V zBjW!z=b!%xPhbjNsjBwmMm(%Km(3zteS_&~_hWE}2F-_mNAR(|hKf;e(e9|xf>_iD zVi_mI3d3n=63v-uvQTSNM;OOM8m=j>s941McJf36^g zUVc>sf(h~NU|^I~Q3-m6DSDZ#xgD}u4bu`?e{?8lc#SMiurAu>!QY)T(GfM&|Kyzc z_Z%`C0|!R`r9(#3qpD}3)iB)58Dv?~i!y7nEG9XsEN)BLctkH6G+`(P~?+u25ay@&0gDiHetJxxK(CocZShf36hIRt0_?_Sl(+^~Yk1;2OKNpuy z>_l0~rKlTaTf(H6`dw9`w!1b%<*_QbWleU9+}O@rB4HC$4qkKu*_Ik5HS1Qq0w2_> z^B2pX4!8iKa=pkFmcq?c2K_6t2*IGLv4|eDs-bGz}k1dHNUfn!Ldy=$mt6J@* znaQX)@q;i%&zpUkwodo|9cx~?VtIlbFu9c&vT3y&y*J`DJYq<)?Uk@ImW!~`r)ErD zRwQOqVghvFE$}}GzKSY0x19H+q&RpkCs^rwpP1rOt5eFYicj*s`p+V)bvvtK)OzuF z1=wH-d$(JSji-WjsMQv>wU0wjT>t`T>Fve+P2)2Kf;OZJaXa& zlT54rEzP)aRM+>v)Qo+8lYxf_cKo&}JKM@L=fPyPTp5Kln)np=C8Kw~3e_At?|Y|4 zHu>=QE)(OpN9Tq+!xQAu#(UIpL>e4)2y>d9o5JXz zQOI_x;Cp%UTSaMfy=S0@yJnzk5|Aq!$NzxQpmHiYHP#Wj{R7tD(?f3quN0s(N#zd6*=^d^@ycc;>Di=pn}s5%Y5jqGWp5Rc?sy z1hbn~@4M(cZ7!S)V5_ZtijPcSEnk~gQNWd#l+!pYWg?>eYnC45`UZFM4ibG)81Zod z+Ti?Z>Mb_-xriq$%) zCJecW^GP$H=t~0_;^C~=EAu-gk5n@)j5{R&wyK5X4+=JK4XBb_d5s#6+l8|q37*C= zq#tGv8v{kh>suG;5!;TdhP|*jTh;P7=#@9boAQ4c{_e(apGF;nI1H`~hp>mpWy*QE z#6JmFAqUP2DDz}&gTQ^ZkyR%txNO@#aFYd-LP%AUs1v_?Qc2OS8qqj%j8HW54)}d6 z(I$^&`zMa;eDWio*P9L4IHeYs`r57cR!C>}OkB(6Y?k{4IBdmt*#iwKRGRq#aM$+y zdU%~Ab90Vq9x}2V@u;+6V~|_ogiM1t_M}$6S};_Rj!9gt0lYZTaO4tzr+)B>&IKmm zc1nzEm~!fM#m4dk$ou*(`XhYmv{l3#_f-IKKkeCy$NEhxr^kAJml-|mRa7%FtSK&8 z-(v4qbec2L*r}e`F~tX~nZuU-uo|lQuJz4xbN2!|{%Z4+B2PaKfw`{q5Z>=eW}c_$ z!IU3X40}Hf`cu%mS>XoQedj2`C8LyCgYp+^T|G#zSDv*E$js3jCI~=X?`tjb=ZSfZE$z?@bP+v;Xl4*CPja)i zgV({$jrUG{^~%+W1-(aotmxD)Y(z2`#vDdQeQI?$)ijiI*ljkdRMkOzL_zxP! zMKMh~v;Adfl$T!blY|Dk&C#C-)Aa@iBClFz0NGEvvZ~*mi%%c)I%P$&WDz_R;0c5; z?jKE8e%;Bhdm_%+9(K<$gUUWT8;8OnX`S5xdnD2eQr3pAOS#ffsJmgi!&YS>niv^pL z^V2Ibu_r+5_hyY!i$q$m@<^_$7+|8Av^5s;ipWXt#If%aIwE}0y?i`#_9l~y=Tzv2 z{yn_p)2B>Ul4Na8JZ?;2ea%6^K8hHNO!HT+F@xEs<+`7;FUL@MpXoF|F#qxVSxD}Z zUWYvu&e4w}Cl^O3CAzqgkrwg-^fhPtI)$=lV+?E$;`Y=jhotTG);C9*jb~N55X4*nJ*^_tk1!o zyss{?@k7Ih2iv6SXLHKKZZKdjsXk{zbNDd6(RkG374`4Cf!nFf6FsqF_F?BD)QFhkUo`d zp<{EKY>$Cg!t~`(!TQG7vCEftk>|a{1QA5<_gLPYGqOGAWDJ=-n`^#^+X4NHDDiukyRE;dNV1$zUJw>uzw-CnO9C0n%!AGjbI$vI zRu0j6QjdZflJ0{{ubXc{w%sCr00>n6`CEoqK=g7mjF2aBUXFSE*AkhSF$vE2kgj-{ zaL`||0D)r?LZ19PnLM^zdQihbuzGMD4R4}n{K+s84J|!A-}=h7q$PB1=3Bwl)m5$i zzTVbG4@^T9389$R`I46>#%a5H?EkdQA|GCoe6iP)2QLY^ z*zL*3EJgm{+-^CXQj2REn1U-#m&j{JyHt;3hF=k_-n8Owy!l;dGjxedK2 z)t`xh7L=wnv?eb4uTcE$j`WZP`^{HN=#<^;mF4r?8|(`V zG-IUQ1h9nEGkca?&87-Nx(Lq2TAx7)#)(VoQ^{^KDTs}iENj1WZyeZdymxgDO%F+J z2$q_`saEb!0u?e`x-`#KL@>a)rI%uoGZA~p`L8|X72KZSVN8T2-Y|qhpF?NaPt&$v zU(axT`OHz7=<+>d)RN+x$9R!{52I5UAvM)o%PV~YOQhaol` z63ceXM$MEPV=Nj_UB~M|jUrVzSJ%$7EUvTLMoNof0Bl-*PBP86V!L_uXVm08+eLjr zY;@cdbzXL9)(A7^)fQ~^QhB%ZIefF?6N9V+f7cB5uD;!oJ3Ie44v7Z4n@Y=%BoK5( zPLz1DpRL8sPXs$-zrwH#*!DcOsV2CMYms0g7z;bs)(JGh=;7I)Jl$aV!$l&}z&;Abc_gXYtn7I7B;Uq!WhiT8$vDLY( zhx46BVs6hrFrEvgJHNseS7Q#PS~%4^Tjk;eJY2GB^Jq^<_h!Crr`Z$|V`_&`+1k>&qyAarvtUs9WPCEN`(dW>C$EbvvU$oge_G zs~}qa%}V?jCAZ=+1k-ysG<@`+n?`MRx|)J3zu{vSx`2m}#0m9ub?x`hDFMu4vguJw zYtOrE9~<16*X7%q+*Ws&fJW6tv1!OYW3j=(eEPWcu6@|M%H7_CD~5CO%(k|&+Q8wd z3=RIBi??|xE5e%hU3jj$CEcDzu&^h4AG$eTmIBd;YT|P(t-`4UCmoX)nnTyZR4u0B z1aeCT?G&$Q23BM>!&B|U(7sDO+3&yxi8%=G!Gb2Cu<_6{^cV11hJhKxwoS`Q0*Iu*R@-Tm;y2bBs2eUm+ zCw$hI;`J+80FK`OLH+Hl3Mx^<0Wnl_u0xAsV*xfG&Ne8-o zt=yl_p|4js1|<9nxOis3SR$yHUZ7dmqj^$ElSZ`?;P=*IThqv8zBQ0|>Fn1#F2~uZ zZU0lUv5wd7K)WG6;gv_aEE*i1Qb`2dBR^atj_3*Ei8k1`2edLtsZDn)tncW#a$eHS3CV>}I#dh7{UajBO7rti82#fwh^_{X$megu%mK|6oA_gl^Na zjJbM*atS|OfTih+?)gGe&z zT1qeH%+Q-#h$LHGw;P%xletYC(QC79F;Rtii=Tn4IMAkkeE+1s7{hX6F#5&#lT6DH z|DM4e++XlkQAd~ApT4?SswpY@y^Dv+UPcHzEl8@=xv!cbjKX+d;&4qQN(Mz zlYO7oMq$SCB-*lA|q-B3#oeHKvI|SOPi6tOGAanmzZQrvAD#d)dV1=ggG0_qK31r7vJkXJtohW&bYvb!8+P zru&CDR5O=?L$3K$XOg7MjmrJ}lCxhGQgokp+%bs7Am33g$uE)?IoAy;rQ3?=a^G zWxW%YmrmDK8Z|Z9;5^tj-H)Km^GB=aAiC(%o2m}C8hn9;Yt$N>@BS$$RZF9`;hk&+y9ou;&X6rltKNBd4BSUl$kl$5yl+m8BRiTv}CJpL5+W zxnk<{bwi;{?11?< zVwF*gJ?sf&SACLM^+P8)O^1>ZckmBKmDUNx{dyQoBq%mYK%Q%0V>T1Jngt~#qPsdm zrJ2e!o>{*8(2=+k@!}_$=Cy*(F!K7i{tv2pvX%Ds6qb(cDs~jhfmx4GL)3e zzTcO&lwOkj-J5%F=^6Ek2y-LAT@G%Ugf>-M5>{e_b0y{b<3z!~uB9n6+rE^c+}iid z;o3(Bj#p7}CxoOxbIyLE!R1MP!j_h)eR{r?N1UDh&CjR@1e^(jmPf35L)wzB;qfZSL zRw-42j)Jse)}rrR>tyX!aNd}zc;a$H+W9lHrLiBCe;h| zZ&Uhr0bj^owpOb06sMg9tWT$R$fNHC6Xs`E___5qwz;@J%y%rp8XqU1R$ocVl<&(* zV43^(h$?sWxo4rJ`4X?kDNFq2*#>&60juOsGgq~)w60~{xFlXgbz){Z<>A%-+!B18 zoO=N*Pg&Yd5lip4_;41DvCm=d_@wQ->)%_W!|>exZNQPP@sUJq(Tu+pT&?{)elJuu zL!(u50{RyFQjX+HpXh#xUJQWQsk(sc6Z&TNneH2@8SXf>RHwHYVx0WdQei}=tMyB; zM-I%77N!V~daDDXIBEOiPM?I5`SzR7oiSTjZhm~cEizJR{;A}w*Z*o|VQ&rapg;KC z+4X3R3tdN&r!3-Aivgc?Pu~oY4D4PCL}H5W!KWu-T(^=dYpZaMe>3OxgW1{8wZ|iy z9*XpyuNFxL%jP}ZQ)j=*d)x~KFqK+2$tyrg&g!BuwV)}3kNe{)FWO>wTe6?+z2Vfo zU(RttF}Buj4s;9ADdD5CiH489EfbwbeE2<8f7pMG_f&k}z!kGako$@8SDbr$Zz{}y z)4ykbNDf-w?Ym#K-EAQ|-+Oi)#{U-eps>M8x2sU&Z1kd86D}1_=lsWhm_QKXlLs~- z75ur<#p~0#-PI<)#XS<`<^i%~8_Ak?C99V`pk59$w5-ctTlORxqntfqTzt?9L~EQo z4)$g=dIy-#pRpb3Y7<>pt|8=*n9IiT`~Tw&RWfs=gZe{sIdyv0c@8z;u~G>+@`N$bX2w@v>C+HWKfhzCoFkQqI2bTYw%B}j%Q3NR54kp> zSbx;-&$ZfPcC+b+w*>S#z&zF4@*(JAQos<{?x5XcIU7k5;3|@Tw zGhT^}7fFb4?t#szU_7naSI5!mlMAm-M;z(GGntli83y-Py+B!$yC4GvCH5HrzSoLck^L@L*KM z+HKi*eW$;JnzyIkE%Ft!6%#JTy-e!hxnAI2fDIX5WPJO#_K4XQ+Tye6jLP5IJOP)Y z6wKtzUl-O%3{Z!SO?T`yh5^c?yMv8 z+x34m_Z2{Kb={T_+>;R8J%Qlv0fK}eAqj55-Q5Z965L5}cXw;tLvZT`8i&T6=`WD) z|KHTid;fcHW@@PFDypmRy+`)hYwxx8JtxJ0S$4g?B23lSw>-89z!C-odYUxjU>CGQ z&!e|{51*cRh>G69b4##ns2X(VMFQz*XKBwGb~rJvNcY;8qx1UmWU1x??J)%Ws`mOh z7?0mOB9~iAt{?cTma+fcqBx(@#TMGfO3Crs9t6x?fiPZI)HL@&n%3AN(kK677F?*A zIjkx^!E=vewj`T(n(seUU-ikRa-oFEvzPAhC>e`~ZN-u=_WeK+_2&8RWMf z1-2%E`fzFNH9bkjGH*-)GSIEe?JPVQyT18_UyFrJ0}bVY;2` z_~t=8!nwHuD)b+;UW5EajIUHaJXa$)*{aGA^9MLn3b$+-fJHDo+ko|)l&Ei|*~!^O zosgh)9{K_!3CI;um#M&<$e?Si$epPr5(AE5F^_5G>%~!x5q7-y7lFaF9qPV99DBES zi>(vvi390_EbUKT;NZp=WqztCw2b|A&(Lj#iA?LQA!o3z$K4!~!YD9WfVM)0?HyR; z{J1;|6+%76hW$=tvu_JA%rA@L#1J4ddov+3HzPCJ_fe7IFKqe3a;(g%s0g?Vshbr8 z;^0U-Y~HE}tw^Z}l~@Ml4p43~J=f~WC(PrlXIJ=j{_YYedGuU2Brs(jO8Z{EgpZ`2 zKtc~C>wt}NIpk@mH7+)k4y;e0StGr0exr3pv%9WaUcD`vu)*c%9xq|JOnQ-&d3d3G z!#~-{+0t1-FY=JZM)T#1`(SQF389B;^?!4iKim@z`A z7nSv=cUdoVnH|mbP7fo)?W``bOImk9qH^^E=?$*U*vOX>Ek%C7^F2%}4OuMacM3-Z zuMXR}jNfYo^t#%xxlmboTC6tq20Sz2WI^7z`ueO`tu9vi7YC(UI!)j9rl?Esn>kf6X9m9QD&Es z9(%-D%>RJaZm-0dcwfdXExm{%oN)AgumyTF?4_-eSN%6|-HRte@N{A1XMk3}##C>u z21XcW-TlPg(53e-c7sJjDrUV5^=RAzmELZiiv!OxkfO7USZ;5Kitm>FhvtwIn^Dl^ zzkDE)mkEG{x4zAOg`U>tgK=!6%Z>1q{m8^~iXrHK%V%H7KET-;+kXXrEiFZ+S>-F8 z!$Qvl=2;H!T+o}_u4);-!zEQ(lYgQoW3S1+-WxsI*yD^;e0WZGoEnCWm3b=W-$*NF znU=|~-t&lh-6T_%n67#tl`y%-3l3kK}sl*{PnAdGJ6DH|Im;l6r2b66Kra~i~V+N(Gq>b;LIk;)JIfI0R5UT zHX-{%jMFc;rEsEi2Y-5O0VgyOE+UXv#2*-aoa^BHHj4erg4by$FTL6f5g9V}B}1=N zm|KMc>*tSoAh+DvZU8$?8JPI1hR2*7c||vc6C|zu5A59vQE$2`>-23Fv_lN7&B*QB zB5*v4@aQ}SS$-MH_}Fi@>3nLNlJE#Ar`$-3RH@@tc^m{GfPO`^y2{^CN;Aodu}?YFbum8|w2iX@=I?&DTxS zBde_n@6g}L0PCEDyN=c-Unm8A$36HVb7tuo-LGe5zv9^2)K?)?L%LMQ?OJlG zpu`vJnvN~2QeXDK?_`%`T~{n*ysGI$*1nOw2nn=_j>VaDKJs7=kWcUGQsDYn$E340 zGzPoNeRF)Up10eXfY9#Ce@UTU zwhd-pFAVhl*YePEQ#@lkrD%>3ubyP5NfSX+3ikb7Kkhem5f>yG?Sp1QD}CNgTOXKS zm3_-hFq?)EP!GVy!SOfp_heOUR(fQ*gEYQx4^GCZs5$CcBl)iLf9xli2=~n(>GnEw zcHNupKKrQ=KuxUM3(G%_-8eDv!MVDM=8|1E-A^MOji<_wN)U<2z z)rzm^BW{5Sbve*EJ~W7*f&6FKoTO2O7K8&gQg1sj}%?sXcjICU!vF*3x_Ms z`(GAab@#7QdUkh)(tnuF=C6dUVY9~+JL6RspUHqt}s@!+T#0aTBBr&php}dO$*OkSY zmL=d~b**l`@5lg2S54)ji*okZsiZiM!;5c{F?#f3pYt5AGgExz#&G|9$rou2U|bxi|8~IO#k5>Z6Sc3GH|b$63wp`FaZ=xapxG)590fxYvH6 zG*&78om499L6b(Vh@Q@$I2pZOqV+d0o(_>}ERxBO*Td-}f-_TX4s0bo;}!+VWbq3@4xciLY`y zTk2H1tYiLUry6z&B5lb!Kf}M@XgoA3Ip~TAn4U2(@hT{?CDv#pFx>ix*83mUAHhJX92ypNb3dZ+Fla-#T6i@ zI0C|4N2Uo&*ETa$Ii)`9!h+T`n-Qedbb-|2QYw`g#nhy8f%$ehtZ z1_;-O;+EKRN!$CvYM@!@NTu5z@jg);G_$1kbUSB%EiWXi0o9)EN`t)&K(@kn|0bMk5*b%(!`xmw{64^T*s$>>sXhVHucurI&@ zPty0`9vj&i`&i25Qh`cc#xmKK@b0^o%hSzw0(`|Z5_1XJ+J$<}uJ=Ed9(p+P(;D+X z#nJY+{+BpfKe~+Snn@RT58UZUFF!EIgSTSGBEE$q4g_E`#K7$QxKG%{5)yiy15uL6 zeuAB$JHq(PS+|pc1wIs3Ww-|ni=WWPYY*nkUt4tfN;EjZ?9^-|G&~%l%UOt?&gs*12VA+|pxUIbzy6oXi`P?n|lK>`5f7 z&tU`>l5#dD_dQ-(UAHjNzpykq$Z-tYAqZ;7uC$275Gy-sI1%DPFSgHU^hN@<3@ncQ zC612Tg85J?O%WEgKGu~%9cd+)4ZR#j+~0qle)Ds2AyLlhJt_p3VXd2v`M7WAdQ0Gx zGhGJNj^qy$W$;s%%$}XX1KXs{6i)rlbp3;fz$gA%O0G8cvuWWqJu3fHOueo7xm_th zI6aD0oX)!Uzm+~Y6;ZP1x~EwR0VWvxGO?!~A6qY?oBi;cVa%Ztq5BIT$>&>e0X>l` zFnt2fsT%4WO6)me3xm&XvD^j7nXjLpp|av@+<0Qhx3wlD2I8{a2Gpv=r9PlgA5P)F zkTcG*Vl|1zHQP=#?;3r2BM-nr)0z9#Fm%)mJT4MjEMD2@A>Zcjvc$HDJh2(6Wk+ZDjCdS{F-j z10RbXuNU80(~MfZf_F!j!xz~N_dsz-I#9AiYY$E2-+=r$|G2bpM9=^@P^yNf$Q$PXKm|4h*b@unDRdxa>>UP11}kYP_%-+Z@Sq(SGw2G0h3Js;IuxrJ`9 zghJ96>kpUoachSCzG})q<`MB~6pJYK!^0;j{_vKTegA>N$&Y6@M&t!F}mkkft#_{ZbEniN9 zpE|jb(zV_P*pE7whN8586#f%Gz;4??>$9;+s3=YF2)%ng3%_q5h)`~MDbP31ZghAW z{$7;?q+Lsv#u#cNYfK&((h3U%>@&s!9?fg<=Q6QqRbE_-uyHu8)bAafo%N(%vl`&3PUXgV*y)R?fP|hvrj46`W%o&Z${-m$aA& z5hWCGn-WG>us{-QP!^UVn5w}`Q4LZfZZzBChIiYj3@0_5_l*;V~HNST9=^7-kkePxJ%&$;(TPQBK3X~=y0>E#6DaH~aC?gh)i3ctKnh3Va%{nR21c_ACAE&N7ZYe06Sz?I zGMw9{>#q+Z`)nN2Y;WbO;&nKj)VbacckRX#6Xn!YI2EjHpSA!Mzc@QF8*8nUM3A9oAjpp3(^#dqKwq?+qts2_V_k6-p@}*e@2u94IhNvo?>0jNKxcitjOfCCA&%?T< zV{v(eJJ}N5?LOfV? zz98+?X8M1Xge6H~F=EBm=zgq%|EOL=2!tw|B*2F%CrTjn9Xk=H+K@lV9e?2>@d*G> zfuHu6qBYsEBDFy1TK|QzU??%mng%R`CbK|H){xKfj^sK2pj`h+7X33@(Gntmcql2V zO!!9*IQyA(qtwFc{f%`E=uwEe6fgRg8CZ+a)jM5Aq5GISU-sCliL}|Xo}2bkJiyjb zXfE$#tr=yw_dlNUr>-;T-#q1m&*KnGAoFPUERx^{h$tvfaHU{$Sz2vcXQQwu$v8W! zK+4sK_#QM^lgy^Exhs&ty|EiziMY3bzyEuSr}X*19gN)>rxUbJi)M@LqkZ-L4=pD*{uY6Q{>x5?h*r`2a%svkJ&mtMnZmy zvSS$hP^(bi!lNC+wF|HFvV;`9x`E`@I)CXb5;qg*0}H2sk0zFzFNQU}*3wz{k%TX; zezlwt-k}+?HalPjp1F6)4+kE%la=Ps;Jq>?2#d4(f-gL&_gHktVX26HLNDFB1ZO3eA~+bv>y(9Lx7alZ-QLPOLt7E0$6dsCy0_9f++Rxmm@CZo`3 z(Qu8%bd9?~E7B+2vXY6`$GQ0QnNtLdU_dX%H38!l_w_gcZ@ZDhZFTl@1P)=U`Yp0k zX{qz;l{EzOi|G=b#|fv+Huc#jk@?9vt_aT5?pQKGRBBu}f8BPVVzbfM!;CLK^0Vdd z-*#?AnAGUZyx#~`hn#uept;~gsQc<7A72m&lQ3mZWnwxjrw?h_=RA9KB=v2LW#N`* z-*d>;vUh<+C&cEz$oV9kZ7D9jE>=RVX3wYOYH#`B)ZZveV4+a%Oin`&Y!<$l=Dxn% z-_dkGf>@5!OO1pdYaau|oLINo?!LV$1gds~r%*Vh^2WX8y0V;&z>`YaFGZ!tP)j4Ha+cVmwtaO7}`&MdX{kc`iAE(&% z)Ie-Oc6d|RjO4aRu!@nptui9zeH#n*m;v!9BdM~Z+>Yl0e;wJmQbR2Q3RPYd%Y2^0z6Tb_-(Lhx+H|n_b*BS@GE1Bo?N8w1WB(x=1p;(S(f2 zSGDYpO(oyOe(Pk>v;&}{JPsjJQYBGQG04%G?tQCQOtYPvSRl1*?5sI0Ik~r4(`lxI z6f%?-z##eddy+U5ER!-*WN2BEkWEQstfh!pIPKp~WD|U;n~!}+zolAi2jN@%h7G$6 zC!_Qp%*MvclJ`&9N_N3Nb@k;V4f)Ij{!d^S#PQ^hWcrryD}7OY#vqTFh^L5HH|0_2 zvpKHfzX2mx+D9u&`;C5}8A}Qy#Z|7vWA}lqP-5 z=}IYw|AU9Z=Gl!E>ER)N%=;#S;AQnEumW(9*uGy4N zC}{R+o9a|#@n_BQL$gd%Gok$qo$B&6)8&g(db{VyPaA{M#gclb`r|u8ejGNn5hTu? zDR`>4Hz6^i;>McXf5?siz81A{*MrcGtN?3!!}K)e_Y_HmgEKSuog!*i_h3?KU#{Q3 z_9|v&MbF~MJrYicY+9R@ZZ#t!?P#bX;51z(xsvkuzQyjf9ing{eYAC9yeFk7ba@)7DLG70I z_ia9c;1{)$-^9^S&|)jnf2WPQwBL&oDv<=%d-QGJ|f(XMeP7P@(L3mitpu z@ya`-ccB$4WCT)Hr=_#{D{HMur0pTr{Rzcz?{Dxq!1-CH@KY#p_xe2T_Q|r>2@{z| zn#eQ60EKU#amdOvZMP9U^fiDdSlW=ORkWF+;NivVc6|+Ui)p62TTsu0QE{Y~lV|Cl zel0$Ak|fooCv8%9L}#&W(-~h=y_s~yKEAUjgKpaM)WU<6IP%jGV()-KMA!QJAEn6+ zGGscVz$zo!L$!{#@x$_zGe=c|A|;8a6D|imcr({2(=Jp4Wb%@Jh_uqj^7(l}JfrCd zSddOUJn6P`WMeV=I&D_nU8$?;(+wknL(9*{$D{k;E$-h-GFpxCxpI6P;b)DpK|m78 z&TJAWbm{^rrM20gpv^R*XKw?SC`aDVmRjpW)9T{Xc{G(3<290F*7ptV1aT$YoPNkq z>~*ftRr^TK5ZY6L*j`e?H<`DTvvi*>cfGhFM|#Wbm*E7|wRgOpb_#cRaHkD73!{^N ztgI|EL7rnkIT@Df{;8J>bNH{ik=2L{E&UGu4C~?p{5e$;x*7J_7E=laQ#C016qA1&NCUQCrSOO><4c5 zJVx=^u*wMnExS2X3B7sisS+w{G#R<e}-<=$nvtK?9^E{D((K5r_JMvvsN*XBFmcs{5sRtQQK*~smJ~2(SAsv>J_-e zT?+@EZHs@0X`gpFZH9YPYjoFW(R#q^L>+f{b5-ls1)5Q$SF04A#~#!AJ^g(GdvIAM_u>R}7yWjmBA1+6pZBBq zBYw&3FI!jJTy(DOOG%Sqz1d(LTkHJGLJisOlXdJ-lp&BJ`KqI@DHq!T+IAqw;vo$S zfU@r_&vHG%jExsmnPF$p&{bO#S+Ta?v~_eSm^v@mj(1?Sz5_%{^xFH-$fIbkc?~}* zV9k8l){w9z=>7$>+@OE7;lQA$!fwN+znvI!cc#6HLCBJ$uAZ=HeBsmJv+z5Ct0EIY zEil=r-Mv?{+{THSs8eE7CYV(*(z zmbx9h2H6auCpXUz5|K*S=V_4unJsuqhC;J%G{+>c_0@lm=%*MLeD^gB-Z(UHhOCHk z&)wdR%ME1NTD$*?r-PRK4aeannH4BL?i!yHWNS&P;8%EyE7;evuNIb<*EZ{vV!re^ zq?84W`!Ik_fM)Tj){^^KS$?pvo_jhV!;KJp;uW!8#AP==8bPBBE1`$3e}dnCQPI`k z&Ej|?)wp={iPXcCL|d-ed#Ui0b^SC&wZf4bj!APU=M!p0n2s?Snfje0QknTxKvkYg z@5_=e3&!X8Sy%op=SRyGI`1(irJHo7T87#0uNi6B@mRfnv1Sv5b5?TKSTzI4C}mq? zL%8lg4kXwk9UJn7uv9GLXR%h3mI;*ys@dWTxV{#B+pqWS*rZhX$8G`n z`XF*gRo|qa&>x8N?cV~U5sk*dv2|jY_W9|_R*vP6BpmZBBru73NZUq9cPlu)l&;xX zmU=pB$&NV2)pdRh>6Eg5)#2`TZ!}-HF18eDX}w^I|J7B8;KB_^B->1OO~J%S2j;*EN*#V4I@UsUggfEEyM z$%pUu#VQkgGBfy{_Bun^wkk(L{BZ1BakE#66M|$FlU$z}TYXeRiFy;R6&Weg7I*QL zlwKKVu67AtS2!^J;wC#5=Lrmn&QXa7S3=E7n-QEutR7dvt&bcEIT%V)i=b32pmZcI z;Y{AJvm~@KTs9dZZV0BS(}o@|kka{+J(tDBm|_dH^VJ$$dk8~n*DA|g6PD23>=Gcc zcDN%i(q;;aEYzdi8l4?aV|Yji_bdYX{`863t5J^egP+3=K`mY$5j3OCBZ1oc>oC0f zvtJMqE5bXLZecV0Dhaq+xrOFwiJeB`k2S=^>XCO?{$9E7iZgpd__A7R)oJ^Tc78!} z3V?%NDP76587`1fZM%uE=Mz9>SqFUsMYoLINd~!ANsLF zl^%Z9AwwDYFTz1**_LWVJ?Ih3l#F#Y%GVu&8B@;t1?w+c--HYL3>y0ep9S*UH%Cmx zm$<&Ai)n~}MYRQ)788`3agNyL$ckUEt=(IW=5-@UjTH@!NVR-JKCy z#_<=kZM3VLKii`<5k>OuHltAdUSV%-Wr05!erPGYxZpR_D*JI!oKnA<{i8mxFz~{B zT~naaQ!pdl;TfCkunJJ!S|`t{m?Rs4$E(5QNO4qA@j{Rd}@8- zXw&h)seMAXm&Gp$T~X~A-#Camoi&!mhL5QoB9JeCCo&dY7eno?fQ2M z!sy4|czZ71d;ohc9i$y+4QoHg|15W-jn=L0v?#Z`C_suqZ1}h538uBFh%?y3(f(S( zTw&scWhg^1$hrtpM#ac63OAhXoK|VmqlH6kJeX>$3W?j1GgJxA*gn@aT`LJ9bT4 z>MtAk8zeQmRkPmrp?D2P5N5VFF%y0OsOy|>q^m{9RI4BWl}r{@bk!HIo|Um~77jPx zMC2-GP*$D_)moH&J%L>_d};3(a(RfumMxHm$6dVQA410R(gONI0BQu;6o%IcRpGGR zQ_Mh{u#Rm{!fEZsB$rS!oy%;b21gCuV^fIhgzAg6H;eJpm-FBpnO&j8{bJoc_MKOJ zTlh!rB96a!qu)aN1nDZES-83(xe4J-6>3f#8WS?Dlw>hcd7bWdYnP}tp0;Z&fc^5U z$B{Zanft-g{G@ad1eJ^l^jKC+m$a^#Fa$^Rbe|dTE!7aY2v;4P6QteJrf6M7gBL6{TymDw+#d*C4bJ|iFpf8B2^+G3wE%;u1N?T7!ux2D*< zUyOR=ghYMW&KDe48Eom4IP<2(Epdud`r>orxVKFC`a1iz=^9n3;>-<^TLVnHU7HjL zDq5^XaUCH;yd4$;!?xK}{sqCMq;6C#PglQAqCbqd+^E7(q89=cTNjVq z(Iw27KI{Gw1FyySN9d7xmSNkX_f=N9ok0TbsBap;R(Zt67zi=UAfU38ct^kpQixKpHoxRu^^=Ez5p@-NiIRq033+`-XFzI+k-Iu-%Z&U95=W_t1cWEczhqP;-ds}zm;gh><5?iJyi^LxRcyx%HIy9k}=uyXm zNRB`9L-)#I0ZJ5~>nK^S8qIS_oUYtxm#w*zhpVcz$`f2$IgU!n4NcIuFch$5Dfr z(Ih9Ko}?vK9}LDJhSc^NU2?s?*PfS~{x5To5q)FR+zFggU1{QTbRqc2{)A(Ir|3qk z?$E9{r8CckFXH=>ppIwiL7X+wo9*~)1Ydj{{TmQ#dITF4XRkT&k-Ka4dvUy?_gB7N zxw5RVul5o7HIH&&Pgn0o=?OTBAzwo`Au!JyH>1-B`8AKz<7QaQ^Ej@(q(6#0|JZPj zslF6q{CvJCWg~yQ)?x2yu~Tz}W$%ep1SVk__w+C7n8-eAD)H73FDfCVF-zW{7sp@W zPEm#j6IN=T|H53YzvR~mx9gLsahH2B^Zh9W^TvitXw%)NzJJqDwrx%xJG7^u5-Y$h zoo$=VT2;4J%I2JI42X_pqueFXo@}_ZCBOvLSAw9ulJHhsggQjO)+sMJ%hB$|TBc@c z+y))O9Fx_9u^>k~f0fIostM-0VjFAT1d<~pv>}0oPpX^Z=I0HU1irxo+$AX)>Q->S zNf&Is{n3+dQy0^u*@?aT#gm8E)F_P)Y0tTYV@k>8y`r3)Tnec+^6y1H?)jW)Y;s~^ zM){MhlA#ia`^8JRsW72L~L2tt}Liduv;LAZlB!J;z$6U};?L9G_JI^3UlyA*t$=#>?qX{P$N8 zm{~DJyPGh44FeIFD=$CHj>Fp9#*vV`p;LzbON>Cz&*v>yTA2m6xkld>lSQqOnGkon zk;SN(@r~Oh6hLW0HIAdyyT!w z(j}u6B@HY!61_&wxfl1hN2`BB#$ruwb+?YOv~cK!PQaDw<3m{n*Vt5vY@_auC0aAc z2kz+Uo#OcA+hY*+y>VMzXyFRB7W;?xJ?uZ-ukJI)f~RE)NmJE8y@i8hAo&9{4?qW# zF(dLFos41cnT7e)AQAkNueD)CVy|-gh6aF%t+$)PU%qszk4EMazr*|(WBNCAtv(@n z^C}b;4vGEC%1UiW-ee5)2Y(`JoOd{zqCr%F=3w04)&Shpb+CsKMIMii=-%*q{K_#u zLnP-7-Vf}9hnL^xWvG_}e}|Mj#1VR+D)-^Ln@r(2&&JyW3(}~k zls3GYjUP2@5`F-mt3qxgramiAa&mJQG()#{{RI8m@)E(gWS)iZx4GDG6c&|G<(c8h z#AMJYS`4=u3db25fUDKNh~8A8{vSuDY8QS;`U z1K#(@-3jgx++7mfAvgqgcM0w!5Zv9J;I6^lGPuLw4l^*9bMJZ2`M%$G zuik5~-QLwzT~Ae4w5qZk8Zt356ciNNXL)ILC@7d?C@5%mMELid@6Jfp?;lt<${3n(i`CkG2wH*;4D3r9C=C-+O3UQsA0N~q7$5}MxG zXB%GWnoG}p*O%RHWi$4sAh!Cul2BY5EWEhxSh#r3hM}j}jUjwL3GiZ+&uoOsp=tE;IZ}yWOTmbdZXRk>FId5W+=n z8UIXtB)r>kE|*WD`TXzH?39tJ#DHrl=OU2Rif<0!g^CeNXYwZ}FqgxiWfg%dE8THs z2)-F?(fx0mz$DmI3v*(8Eglb+7fZbBwgha&&p2XP{4tYpnxvI6HeYo;Z_xi+pXS^S zxw10fhAGuTLrrTC3^y@{?1%p&l^BXLmm_dn_RD{&&a33~HTE^KWGf?_R7m(f{E?cN znUL1fa^C1{?jcLJ`a$&J%SY4YL~j%-Rb1NVs_&&(3DQqz3o~kXe!WBgcM56* z9&-U#Q1JJP)Ggb_)d}Ug${4AtoTy}Z@GX@*o1@JenwKn8W|CVhe5rum6@kDQmL1Ap zh)G*q$+>Gx!?C@k(>UOQEZTqXg_zAdL1~%qm-%9bv0=lfiX!o_>I-!}YS`&k77DKa z?7taYp9mHJ_Z|Z}h5bo1&S>CGoV1_bq;!{n9{Ljfr4fUySI=_6Oz(l;nqbSqcvoW+KXx$oSd` zNZV~(QxkmVpIe}Fp1Q=KPVo@FT7$Md*vI)dJxb2YP0LipUz>*LJ%%`Sc928476(^HE_L1~o$!yRc0DWyxz{$8;Z|&=lgg*HPdz z%{TLQFbyi)gjjGW$TxU1js$l*S*6Ok^C50Q8~qx`NVlOx(+>xc>8IRkn-~c;BY2@zCmCLNlWlU)7-<-R1@&STyQx!k6DhoOm%BvaZ zlQ>-b4E8-x%ove*IOA2d7#Wn|_n)H~$R!I18|29%XjMsxZ30sk#a%(&rYL+`OL+HU zl{6FLk)>F79ntjIH10UxLuQU>*r#G^`R5$OmT2{7)lRYwWWC_Zku#)EE7fuwJFG6( z5?i21;N;A?9C*(Z^Z8j`4#l}U(&_VVIR*UajRUnqN7H0|v{-%D`|3$7Wvz=}H0MJk z;{~|Xz@!Fx8UQ_zq)k|k%?B?o<>O*@^3i$TE0Z@*}54624s{B(PTd+ zn64teA1!xNH%Y3mnjZOL<}q1+@Cw_@6AW2z-KZG&Ttb)iiiiTRdVco3tNAYJ+smix^2ttVGQS$oe!&T z_-TfGS=cDJkvNH|!`marOm78NF2IAm&oG|TK5;@@n^AnMk(o+W3?*Vd-#EFq#@0RH z_bDciS?JN{iA36e5aj74?)?A zht>sUGQ(F9Do`9X%B3b>S>~e)rnRzB#1R|*AxjgT(AF>6nwi;M-y50doBD3p8ZSf9 zJ*7ZV-Jga(bo}k7BN88vDS-yti-;Whg|bm5y#P#sJ6>L33)a?g9%q5Ept8{9Asl4) znLtd!%daB($OTB!swVA91CDQmWz?uOKU{mRe`T$0vq6{q?2uc%wjdB+bQu^?^x;%= zFHxr}WK3LH@R1PR^Q4&7xUYz$o77`&m{g!GcwLhZ`A7MB-vFd*21rrcpLO_m?;4S+ zK0LXy5;j18O~urTiAbaFaG1-lP#XWHtSZmkLeiqohpX-RN;58n>v>ShSj__AYy6Iq zLK)NHx4W?;x5eX+FNbimP~HBVDqGJiD3v*J-L>6s{t@TA`;ar5X%SOlDOX|2Li?;o z!9?L+D4}#2hn(cky=^fz$)KMFYl~WR@@wbU|6qefkH4(5N(;qlmS&?x@d%ZV7%%My zRxs^*u{_xsRo9jkWAVu`$4=TNqo;{5I=H?9-RY%EgaB?Oe^K@bQ_s_hp2F3q*Ie}B z%Gz4T0~g(zkyFG8p)idRT7opd^P4&NpvbJFCfDsl7dW2 zJX075syS@FR?ks;CAF41F2M63;#P7sX>`@MlfCtq8(VcQ|DiDPilcsk;$c#SUYzaBtbJ0G3?Uba8}3VB&3A$DBKlEJwfL`XJmkNzya7;yVAq6{3c{2&i> z=h)t|p7SG~aD7kkEqPn?9#?_qG-}}EI3c}Dryp@!JX`Jo=?tkE8od*FJ08BVGdZKRN6|aw%GU={OhEqw<~y_l zzHh!TUBncsmSq(qcdp4YdoEwyBw!LGo{a&kS{Asr%-VX_$SRhUG(A=}aAl~7dU+dw}PZ309XX`lr z43WzFzhZ3ax-n5tk6BF?Z~EgXCdA2VvzMsrO515g?|-JdhbyQn`rBd}x`4fS7;%DQ{qK5ii2wUy-GSqF&?lbW7N zDm>kynERX+e(Yij>-9}NN{eXl*JJQGAJrwX^DU9AbEoGli)7f=^jQ3>b$)k*_);F+ zo$6)j^pS5+PS>S?0iTNO*V%`C=v9*RTP2e5d z{Ss^>(l@}Dm-K<|VPd`n)^GLLg^%fymMniKm7eKdyV3BP$0N$42Y2k_&YjB_mzJ;) zpGfMeYAbD9f5sGIB8R*1lRAt*e>I%y-g!wi^9VEi9qvjQ4kaaLc{{)5^&`_3Izgnx zfsoeevjX+;?>vz~yHgtjS9y4uGUExJ5kujpJhQG3VvcEvv!^ol1r*`6AF`c&*>5;? zZ`QuH*yoKVxG5KH|40@XyMczcJ9LoY@8U6nG9e4vzKYuWiSbqk zv#l1{!uN5rh)a1eFtk9ICLrOVg0Bsn{C22tu?bZO~EG?89D7cmYV0 zcp-$So6uYcO1D*!lO>e zI202r{Z?DgIKL|^>Uj+*6|ww_yh`)P1x2hZ=StD)wHo}7I{>8Jsj;4;9M5j6D0_%l z&hF|53$rd-i_lFi#6j(WdROKQYTMjjwwF5I*(c)(XSYp$bc#B6xBZoRUYuB0zp+rr zbKuO+zzkR`&{arfao~)w$^4h5rELxgs^fm%P>s*lVA~rw?Y;SX1dPsD<2GhiYF5jS zHH33m$coRI4A>#ZPS9_2HA9A(c@sHG*&MLjTHb!}jasQTlVHR>d?DnYL>NIntd6F} z-n#O+wo#m+_+j8Yz4>Py4ZdTSnnw%)Lwrp5O%&+XQnfKA=ArYQ++A0*=85n2<6wF= z@6V>u51Loky?lGOFBNQtQ|PkuC}NDi6?`C7x^+JycnVC3_Wriz1-=N?y`BqbV7SBbuVe}j?<<(gYbJ@XM8rfb*EkF5qn@c=7T z7YR*aoJ(h`IQo_^D=}^3$ZZnnG)BD2@&&X!7iB*SSbrSsf=a1o<}_&~jsCr)dwxMr zf8c_*ReoaN<4r8R+|*XeZjPLAc({5=`O6Lz$H_pC^Z_ID+> zAASF6U$O9K=5LA$?RSS14&WX#UEYtliIK!~4YM6Y1_qBlP zb1S0Lb}le!^a6%kZx_^uxLN$xxqE`QbQjNNfmxuFX@Mm~9jEDDYwp00ldltd!g|8d zLgd1sJsNCgFCk(#XQyxuBW-rmxlM|;?%^RgWp00mQ1gFeK2LaC09v#`$$y8t-iBS) zo#l~bj@HK~tVjq4qMKf*YkLnJY;lZlPw7Wp7j|s!L~iko1bCF!cM497E+&C8|F+AE zr<=mX9S9|yo}t-Vvm(c{7uS#_R}nQvV-~6GtFFytPBBXpFnK*%20|@}9aUT#noAqj z9SKNy?Irn8StdrfYqZ%@Es99s-*X1`Tv|w~8Vehj6aWo>d)ttTh=D#&k6yQTXC?NP zwx2N864ZMv;Eb!QW!#X@=p5`Fb-Fefb-lasDTH636|jSOgN+RZ@pv3}Jp>qYd!zQL zW|2~-^&)3#wmmz`(;-A8Ky&}cXC}?EaD4?6hrm1{u3j^#-{0w531nb8aJZ?@*1NKQ z|AsE8^zL%>1pDDvIRq2lZe>I||2@`y>PD{0?Tr;O${Ov6f&IZkxVNOghVMmFChcVg z;V}|?#l`#Pt+hPk|D!kXg-i>+oE$?Lb>Ki>uceuAr{2YnRn%t}PIOZ>G+L?nqhuxh zcnE#ob~oO^(1+HoP$RPbb34$Tb99WYeIia&y~B>sdLV=pHxI=jk<|2)!8Pxr#=5hH z`(b1207lHDjZ3# zkxYDJ+AAyj&By4&CrF{VTqAfyR4>-|3+Xb+jRk?ZkFpEHMJXR_ zbI8|gMP|r0Fee(}HaZF3i4T;DrYlHlz(&eB2ecLmuCg}>4&buwHZYX_>}w>xVc;i4 zt}yz3dc((9s%bkYSV}IpMEVUuB>o7Upe<@qJGmY9i(B0YH!#HM_mFa(#kK6;TjO_xViwNf+_`*yA`Wg5`%ML%kd`NJ_XxvY&`dHT}Rj5l>#*-^g+k z%H&dpvEho7xNJsDSJ?CV%t&m?Q`11^Bf*X*Yh3GlNQ~}z{*{&t*MRNMRp(sF{@fnx zfwi4j#RaZy5z?eIsglN+;5c~!XZW$Qnkfif_m53UC3Jgi~=f%or4#kF%4SnmH=dhZz>5t!%M2>+`FJ<9*6 zAPBWca6C1WPWRt7^KZ1U3?9FE8ioJyp}+S_k#5lQKYBrjY83w;r7*!Pv;X+5l4wq# zDF62mfBs{EywB+WtEr)OJ_=O_Q}aJmNTPY&x6WBxe7%qe?^ z(nSo&MY8eGCR3R_>7~&cf%#wNKTMS~mEJi$VsEv;t2}<+&kcl`cJwh!uIdPzZ@sih z0{Uie+;q~cB>u#NmQqpG(;G{jB8d(gTy6XV+Jx@M^?kgM@^lof{Och`Jn;r3230}+ z#S;{H%6P|i%Qc5ljB;1k^m(cV28w}ixyF(NtVYsXJ+FTz#w?!4E&R0dfgK5$Ar=#< z9@Zc%YT10CZ`tkH#a^$`cZ(qsNCH5a)K*M3j_^a@snfghwV<=39Y5kgs*It*=6mON zz`CU;`$!&6gMIzL=QzNP)?yEkbSN(!Ox!wf{Yk7?R4+%B+2SR}4X!)b$ib_Xy?;{U zUJ;F6(foEUJZ>q12<@ue1`p{&0tw6MAO{Jhy)PNzLwgzId*6@G=bKhed61fhJ**b7 zAhL|Xm)$&$_}LM(UtI#BxoKdZyrC__G5yCM+L-w!yC>2u4(1@Y6?N~mg>rI;XmoGg zkFkA8D*+8~fJ|0~I0X zu`9hcNIh%BX4j>HyeXsQv01Co^ZvvEOZxl;rBK zTK%i;+Y7V>ZryfY+E)-Br~R=!DY{(bg_R!N0p3BiL3dI?XoP2n^{ep&fJM7FVt2)f zzQF;Gfvr)cE994&+_^_r>aT7HrAT6S2vKeEQ#Zn~+pExhyB(v?k;-vakF3%Z?a?o> zZC26_6*n(U``a&HHs5fxwK#J{i^jx2vZQa^P+zt>63+@moMGn~1NTHf z17FeSL7w#KX|YE7%Jo(%UygbfEExB)dWOXUiM6fid%8&H2h=>fT!lo~+6Zv<{-j6r zA5M`F3EI;HyxceN)lHFHUhaSmByu6hO(e!|9AWEwC0WPdfUGaPre_WS|=T~bd#K+W%)ttu4D zwfYm=5>oYwu}WFIbDdt7BY-2;^ob}_YN!+*I~~>4Cg(ch5@574`=?fR+1X@R9-&q! zRSm;oze_%Lj&)_Zz4HpajYqZVX+TE+vkVOU&yQp)!oZngITGx-``^H+{08nxp@ zX2vqpMj7d~7z5x{ujgX<<6PBWlshn+;!FMG(8t}?2?^MKwVePE0&DfFA75=D8TI6+wT3hM23O1 zWF`MmWKu>Sei7xnaWd~m)9-AGBw_J2K*R~h$sF|`Utaol2vf4uHUhaL!}WBcxmf-3 z4lWRzYO#l@RCAOkE6wI3(T3;K>hH(wj(tvw5EUp4Jb7!2Ez2C8x|8oPX~3?@n=*-DRoGV-r~z_!TxBkGNsWz`*8mM_+imE6hl zm>8Xn-+ft8*|C*?koMYo>0trs7VVAWDRD^HQO= zmDHhLhI}VZDX#|ks9kL^_6Lg^2^OYWzcrz-@MF)5EgM22S)>ENzv+$y9)no&%a>Cl zp32{h7hJ}Hjl1R7xp)t)XnT0%b7xv! zuj@Hmd>CkXV3?KKu}(YM(3eCsU?XsBjkK%lg_p-aP(rzj0A6-J_FJ|FP?WCuzho6M zz(NP+26@s!9T|HY-TV>;J*@yYZao=IHNY<$PwH?LuQ5?hMiHk9{fMJ$*IVq2Pg9}g zY>z!kHfxA}D~KHvYEp-PJ|7D$hfKt0VB!Yxrh$z&I=9MNVcvoM#nHydgH?g+-?4Joj30-VCdr4r=)EK(v6}^*jHU%WKn` zUK!33Yc_gH9iR3b`{)F1V6f2v%Q8@J;H43h_P0ySjd~Q2m*fj|6dNJgZ*8%0 zI4@T7*-P>r3>*#XH&omq9pOQ|$3TgGtr@pl!j;Fo`(9(YX%D8fsl%mK(Qo~Sf?>O5 zCPP??z1y0y9twfVRSn_mo;&8%HlDpy;GOU+fj<@@hNGu?Gq+$df+p%y8uKfx?At6Z zqC72#t?lIlOx&zjqx*vpcyEEW8bBnI|8qWovM4cqT0jnW7o?Wk)<@UkLDdAg=e$h| z7)1wCI(mb@M08Z=89+XZbt#K+36rxAMxdIH&DRkLSqirc_^=r8=M7?H#W(<1ben&0 z7LDv)dp~rjMc7b=nLOVd_^mImEp3=L=$5$xTC818eRYn`t4qTxC(dobkEJAz4lNsG zts7{4hL7u;_J9p@ciuV&eST7OMYokiqQKtXHAvRT3b)*z%N|J3Nxw&Bv+?mQPD69v zVO1JCE7g>Cz@*at^@^*yt-ln+_9YMj6B?F5nXh)z9~?S5=P)1b?du-sZm0`wPf`FZ z#voE$UPYqutR9I87sqJ4A8glcfF*&rOEj$`szDxWfttoY?()b~Hi^=Tnw$7@InX?( zUr=~ZMEhdGGKT;nZ?(OAkUbnJywl1*27IkQCgG#R*~f9 zr~Mf@u>Q_nsZ%TUgfb=?2qA;X4FJR0Z?xo|TG(9?6k`LL;hpj%iuXZl50g`O9lHf= z`rOJ;-zWxC(&DJQ#|S8NEHTh0T~|y1TeU}-M==6VA^K0fo4@^E?#$OcODOFQ@lYWy z@An-$6*h`(`@9{IN`0l^g;5_{NBji{M@}G{DmMm^$a?UcQE|)K@5$av^@|Lhp=Um^ zvEnntiOsIW(b{hG%PJK&PZb}gd5ZBB{Zr@8Z0~IJ79Ck6-)t7Z*QtiVPyCiowR$>r zQ|zXSTaMpglP)nk7+0;OJFI;>DYezY6Q&!}AS0QfY$o+yI^@HvaJ-}2`LT)UL%cqNVs z=`gf%hiqb2G2eP>3+D=_L>C5692_QeIt?DU7BOH(hh;ec9}yl_OKsx1$L#dz-mh`G zA2;GlY4c<&Dmu|^VsO^(7)iu@vYMroX(8Emf;zu4cn2EmJwoHK zLgnrC*8y3OALoRGPJ-%XNK*Vo;RzmRDCT;J~(QV@~|JNc3pCsH#1hXXie5Y7O>sm zqO<}>oC+y99FQYz<$_XkTTF*pP9Wb_Pmqq94sHkJ#d3KokUT~`o_$KmY=LC1z zy4MRWi{KlaQFoj14MNc$9fN=~k42+<^dJ!dlHc8;se<-nsh9zcV9mu7eyx=Gzl1QZ zT~jf)@H|+dIlss5{fI3)dBE^l7h7qxji1YfVZZXJOXc019?`pk)H3dk#T{%3dixPd zJ~SGb4&Y(gcYL7JG8XPPp>P2)fAt9}(QGf$Pa-;d2 zpKYkGk+ZO#sg@0H$uHT&!bxN=tZyHHBfcb7q|JA^=hNilSXPh-t`Sd#9{LzqA<=+n ztG5SQpdE{InT9*qW8M2JM)sE9p+pGlrRX$(q|;?lDQFkG^S1wpAfCewx3tq2<3G`q zwlO|mf#D2kHZTaLZpiLr?@GK1S8*FH2qdk!AFRkY1AnswIB$-%z4$sN{cewWJH*i^9y(1K9kKX+W zSJ%PD!PecXOwr4dM*jy(?K!?S;^lakAN6}gMeFCCj*ZeSl97udYClKnFiL!&f5G~X z6%N#FWrFYG!SAPrN8B+o@ik_ND}l^+-!*d?Hm&129dzQgIYnE9&&Bz!j>D6XyM!>xCZli+iY&?UkEPAGV z{p%~y`dh1@tP+TI1>;J!<%-NG5R5p`jSUD}?Ep~l<-AM-h@kZN}!a)SAa){;bB*jd+ zBl{<-H|@KM6Yk0WPrSYTQ;$Jmm(?Ub_FpiXJIuzr?uYp}wM7DmR=W0f_9rg)GB@$7 zwgS>eo!Y+t(#bXRRf)Z4H<&JA*Zy>P#+<<^h*0TehM^2O!8^M5WEE@yMiNto*LqT>%x>?a50@G&N4xG8)!s1ezybJ;BJ3Rq>zlJ|kVNjTy&@7aFy+On7`AmCc0 zR*hrzzZyaA(x^S$cfjCk`lxtAk{;Sz$e8W1#_6u0h8V;bNnqq3BiDq$u@`1kl{p{`e9_y>?&j%8)6urXikG$A^noQm6 zSjy?_X7lXsvDc@>#WAIEYOP`5(Q-Lnj*W{Tn*NDA&=ON#RlJ<{CB|>XZlcy`s?~$3 zn;yN-hFCW^l_$isBFKC*$*eHkVXHRr9PlKSI)9a$!xPUOs1vqTZFYZ_(FOV=RV?C8 zKYGBhTGHh6wASve{3Pa>^((QdHV)aCHz7cEz2Zgo?b$})?-g@8?_%WAKByecB$Ge$ zynAD@Rl=quXemk0q1o`V&+6+Dh(K*LsTDGz{Bz3c(P%O&1!?_RO5iNc*zILiF`p= z-}ZMcBYtKZ&cgZV-*n&n<@IEVBdd`m6l`%UsBG4yWQNAA+HrybBoy*Ak8z-O$H5-!8ff{- zFbzy(ZiS24*f)OnW^f5`6}<@#H$KkbdMTKUnqeyB>p}yT`x~DN2-20N**Kha={}On zY#+b8HXOj!@?VD2>7edb9ywZMGq(!~!y#~5dSf}h$I!zGHAnG58gkMl&9~x zBYV@}3U*VWkbQ7dzRM)WX7K)CwJASxv`nD%+L@gGNSnwCkOha48Z?7@=!j1xs!~hp zgr|y(ANRpTX`GyfCID#Kt`I(mp=__QNPk`8afBHFl?jZt#nv9FLZIVvH&@eT9CKI~ zyKzoD)PNXpORU0v<9vKiuevQCNRFgHbDS7Pe6bP3<#nNoUg-ph>fxPW56twj8z4=_k(Oqz7?hifQp3gT zP-7_Wt-MI)d1qoFz{ek4#eBK=Jqlh-_^cj$uo+Dsbwu-m%tK0}&FzqO zLr^d!^8;tp+yN~_pT5aA0eirwO}u59t?nI3)3_F6{i7l}E>2M!T0W1mEaamttSl3| zc}-S4MnPas`m;H5EiL`kk&i6|8dD@U#1Y>sD~pRuuXYt?K8(FyWudTr*nTE}{N;a1 zO3IvX+x6GkcbIw{%i_Ez^_&jK$^Jap@X-`k=zEF2b$|1|8vtH9S?!x?sD4ns zpWueRmWEwH3lfFTdH!EJeKbbNrJh$HC4gBY>mCzx7B#UHLz_>9wTlEhEj9(mg4)7y zgDq;B2r+<2#HE42uQY6XPrA>;lXKyT5pHuvNR zsJ2+&ON`B(Wntv!snsZ-{vW}MG{Y?6NAKEhcgej)VHvcfJs4uqCK*@H2t$ZWADi(M z-NwXrgUB2z3`xBMhScbN@R4?dNT6UhF}xITi-eVGw!>o2O6Y(JM#xYCkv`+Zan(pl zk^vDw_tX05_cF(qM!*s)na>5IV6t(-bDWs58$1w0Bw9m7D1}Q%tqGSOZOS!o@%IWX~ZPW$yvM2R|l_P(4qr)rN`%7Qf`trbl4EpwSd*h{l zLlz)(^VS07s=;~9Dlh$rzP6WO0{)?in?J+OZuvTpl_6xsZ1n~%TMU~2Uij{k|I4>o zdUJV+=`O%f8$CB#gKgB1^mW6;#PdhZPY$=~SDp)9LIAMnQHEgdw!T{k;Yu`XcQXUa zo$6r&_mPcUB5~L(JvF?rvdw^vO%T!wzJD%L)x?uSS-|$%8fmWX&Wzalu~IHZ@vK*t zx8V)&Yua=G_ikMt?c*prfb|i-n`Rm&wX*tU@!cM~%~g4+3qG1R%H5B~jX39jMD0IR zNi`py8VS88##eFU=b1-Q|2%Om#R7{&mNqKaiW)za2>$uzC5&>Ot)QDe7P7VM!E{(h zWd(+sJVqyFSS>XHSTQ&3Et9wFfBiGHgE8+g&W$|XUAZxu<@eX(*Be?((2dHZvqRJH zVc5nOf2ESq)lF;3{MBvw&laGY^L1~lxwmg9~ zsOP8XifAJwL5d*6L1kTW7KfU4yB|GrZvIobflfq`3BN#@`_7#wlnT0t-y>DgNh{Rc z3u1&igjQ#DOv-}za^5+pR7nmAulbk3 zx`mtd+woiLxkKKi@*?Z@j?i1eo=%+$NN;0~KlH?^Ya-=HbaKOqY+T{!(dLtgVShnL zNh@dOvMhzwpM^TFFC8k>q)n-8kr~niXe#Avjef*&3KE0GU5$X}^#X-8AE1V$Pye8<(OBdBHoYVGtU` zJWdXo$Xhx*KcCT4n>$^xzzIJ1v)7iDYc?4(lkuAP=<_fgbhT;Pd(?s=oAf4P?37?4 zD&VNwVkvZO&V|(MEy#pazu>Ntt2F_EgEU%-}vexwm zE5UAcIn}W?77`h@$G2i{Bq!aGX}!9nfcbWZZ}z^Z9TFQO*xaq5li%Ueg<|J~X9F~i zJ^j1mMfpU->mXOkBDpOLnaN#EwRD%&Re%lNMn{3Hizl?_L09JrXrWy3&kAMk2k56U zc4I1Ng^Q5c*#q2g^0f7SNR!vroSLQsRAf*SPnOapl{oenNtA8^3EUz0!g<&RN`~PW zINK{h2km8^t|& z*yo5q)wVsIjm*+^|0q8@RPVm)6snG(fJBQE%6-{3XOE9Bp-!- znGK#mJH>u?-f|^>t^50V;zQBWFTbLdPTGLF*9Zc9`{DUR8$q}amFUT3Sg!N+HpsO& z0D&(`7r-DGrB^M=AK!vtzTwu%Yk2FBlPyHp%=AnR@qw>`+9?|FIbeq|O`mcGK{-#J zmET8wj!@A`H2G3_vO$(yj`O^>7y)~QBhNBB{BB$t5+Ck9+(+N5>2+FC2q?MDnAa9h z*(>p2E(t>`K!um4xtrCmKl{7G@*IzMyBM|wZW5A1EZMR^+(og*YmU`bhl1;~BeC}r zJqM4WXl-17z2}^Ml-|;;lBi>lkIH%|(h??X>u%I_O845tFvfLAa0K0wfAWpM&bKyE zF;T&O8ig}KuQ%cuRna6Lw#^4D%*#K$Fn_G3+ts2@^+iKm>n7@!D7a;=!~WaM`EaM0 z6qFecPl}W#F>9dsi{RP#LVS2<(^D5`{n)@ z#Jzn4#Zq;~5Md)0uZjR>``{qFkb%HKXY&Bxm~mXoeUb3t6T((d$o{z>VjOaRz%C)3 zQ_uiOn9EfTeK}+9P1DDtIv3)(ew(x4%Ho7D=v-b$vF2=t*!wl#;Mg)%&w^9YSS2VtNoo7CQ96Y-rb3oKK92NB%BYx1KQolhF z@7sB&@w{MhjlSd!m_6G5D-I7MQuk)?!Udh_Bjah8%X8;ng2+Q6If(v8LM|_13cZU` zeKP9L5b)3)t8ZpvM>Jw6atV>7Znj>Z$ms&4)))LR2JA8da{9`ZHG0o;Cxoq!qw-{yQ2;Z|(pM7pV=m886-tX1u{pI>67NAMkoOnE^#%}lI z%i*jV*SVh;-Fh9Xlw;jU)Mc(&+^1gveeKb~THhKC*M8GlZKl zy#2AUwrI4td{)D9-& z(+3h77&0t>8ciDbC3O^Rl1@8g;+dI_&Y>PUDetDzNjzUP0lj(U7S7V+eb3nNlChvg zChC6Hn$Rzxk8}xZEs5swoOpv2#!vw%@d&aO{2?IJ# zd(N^*BdfN=-aO{3v5zh{6%}24JQ3FzJk)(vNCBM)xARU;H!UtuBE;b)Y76{<1Bwfd ztc+J*?n7?>wm11R|7Fw;cx6W7aXNbY%Dek`A#^)kQ^14BQ&J!JhO8VeqcNqQDB?n| za5PE3Rs|zv=a-*Rc(PQ*tI+cj^_l0Q$WL0(&T{(D+l24`(DfHUaWvl>Fp32NK@!{v zAwY1~MG`aw_u#>TyXyuCE+M#U@Zj$5un=T%TVPq-b%Ae__xHcIZq@y!wx()oWP5u0 z$kXRJr>SlCo=F$5B-kEQC)LD#e=608#qLp8GzYEna?W;xC1qhscNQgS7||G_eSAx+ z#z&E+g03!jivz(Xv)ezsUGZA&>_}&dh<^)fK$ng?zntk~fWeo&3AO17E)$r)N_??ZVu<4rv3l z`zvPRW1-@Zi>A}cWKc>HO0n({x8bAl6qJ#Y&5Pt(e%zAtXJX>_**)op`8)U{6wYJy zwH8y|5Ou|tpUYwu&*IPC;M|}kZ(L$xcy(BP^$QkoZ?E&}TRY)Qi9z>Fj>Xk4B6=Yo z9A2}qlQ`H-x?LZQj2`w?G#U1>;v`Cw{Fn$MKVM*)`cOu$0=#Dq1dgii8UV)KE)lplI{rw`8WpnuU60;X5VpcKTcPSfMv* zd%Z>*lNhlCv#eNt4LEFciN)x&l<*UJR=)jBRneB+s88MQTS%wJ;__xeUoKLMR5I-~ z;!|%FWlOm3{8;0*d7Qp_scX{J-#^ACj~Q`8z<6GClD&k>-zw;gpcOIxyPs}E0g6Tl zG3UZx@DOo;Mp^V|b8ra{zE^>)wq5KzPx}md@^AWy2tW^9D=4@kVXem5HQgr`SwhQ@ zw9=yB2_6rO++Gu*Z6`qlCvVGjjL0t!6q=?!EuScRg04};GDQQR7A;+*@AF$%Hy4@b z-*ZfGam<~bTMnIsn{u^@e;-zUR3iR@RROAxZ3EGP(VZU+W%7=_NXJxWhZSwH2OC*alcXT0SZj1E;D9OebEMFh zD)ThC3^@jN-2E2eJ0Og=P&9?-ExW{Z?XLBm)hqTfV0pJr2RyyrJm@9uPwnaq<*ge3x}35AJp`{0 zTfr-U2Zx@h)@c78rMj+Q$`PKc=rF+Hh)6*gr>0`+*jGPl+yE+X$sHu2T zKC4f`=sQHlMTYL0&r`F0-uuf2XUYGtF6W=nVt=1$XYI85PGzJxP2djU zX|sIFDCuk)l^3`4)UZ)ngNC}OztdhhB}feVnU$owC2P7ciBYmKvYFB!i<|A+HwP** zF}M{7tvztf;@cl{mp|T>3{J+pk8Yf*0@BQN@@I9m>j{=NPQoIYz9*=NKn6M|PB3J* z0j>AV6PaU&)5A2U%RC?wmZxR{*>!irWj?>gvN?J-fzvI_Qg9gS0NPs%2g0#CC*ruN zsX7NRb-NPqtF5uql}DMqR)v0d?v3Z&@&ZQA&;ti+qg{G~AeGW`f5tEM%4t(xGM#qs zSt8Y=?=u;!?wy|EVl8GUy?#z+<}QvlZ!X34!ny_4;lgG1?xJ1-tKms~!9_ci`u>_o+T7ZU=))gU=U)-f1asdK9j^ zoWCqx5iK3NB_X1bR-IV>;UY|RtAuaGyCatDD0o1!V#`a4z3b|&;@s&_3h+1^4!q(^ zQ`jY3NnNn#U^PNjkeOc4>8h>$TyH{VP_ZAA{dBuVq{?4fdNv)q=A;fwDsFAA58exn~sqQfuHE~IY zNYZqDqY7qKMDZV#wHi=4ltpJzSEihQkGE%i9g4L z571jQ1ce&Oa*G|$W^!MZ(BQ~tidRFiKU1J+!U{bIInyv!;;6u#y>Wkxe6wGUWA`Cj zPrP*{>3Y>I=Uuax6|=qeV=n+y8a{to86#It&D1Iq( z>D{=WxG-Tt+lEfFBwU|eJD^jqzr;rlIGu9>@iFdb9^`Dyvc`;2?xz-a`o*+5>lcF) zwXWKVYe_yln!NrCLvrZ0RxN#B;62clCR}{Hd-j~zcW*H7XeAK9(z?u?v378DYo|`$ zPILQq4LlePeE6B9hhGFnIiAl&%~r|^W@AJ!+jJoih+eth^z^h&r!iF|@xypnXbAMt zkrcb%`dxDUa$=d5KG(M4M~iATXuq-$r$2AFJpZ?acyhdUPgMxz`zct-@|L_@`9>@?l=;AyWcy%-JJ@5kaDGGIM+*w1NMAa6tLmN=gg0zb=pVN!5rl#4D2HUsHsjfp>k z`oK%^rq5X8K2viyKFLQH23W#UcSXnEQY!SSG~#Ta%Ieo}gML}*=908qzp5>TvXB3K zivJG3YCqYe?>qxNbSf3~?rlpuJOAW88Ce)6!1TPoAryS&_+hZU%G7^@6^G8i$VZnE)B{WCT!zoMeY5*$*OZt?`^$_zv8~LO|L)8Ri zU)WS3ZSU-p_Z1+GoFx8+D^T8Yc8y6dj!u`P(e1u%XTuZS?zI5;8Jn$JM9-dAS}iF! zls)+cR=BzF#6MPcOtLvNR9mU}Il-vWQ=ZI>4U2Yg#$t@ksi$+(YJz zS`4sfo|rMa&~k7c2$Ypi4V19ryk)HMa6r}U=V?%_CZFMxjiLANc|sD6i;WT0Yls!E zH-a~5T(UbDUZzj2pWogVN{ihg(2XB*OjEdb%`OH0w&D~l%zux~J!&uL)D!>lk>O`d z;RczyKf#{C5IKSDNE{U!n%+mTIK>uXg&;16d_pc$pl0s$et1Obc#K{8=|vqrIkDkK zu!kyTX{j^!h9Je<;C@1IGOlP!6%y z-8Zi1q1h&%8&Q-cj|e`6>Pa3>#ou{cvbdNdq$)F4lA3u+3;`BP<_*SK^qOx~&s&}l zd^Klh=c)x;LCTmmcFFHFpQKeeNwHJLzKS4zqM}^n(OxS*usYXEt+yjs(jRV&aif4C z<%fDF<=_-uP{((nwmW*}{pT11;(iU~To5kpGAFr_7JMt+Bkyk|B}Mb?HUO9vjL6^@ zOBP}{FD<3FR9|A^;`DC261S@}4WF_Alh1D+I`~o-D3ms>c-Kw2HjGs`NiUi-i5g4e zAdd0IE-&|N@_^|odm%|{s6UNNo%P;d)rUO zXA{7$n=7@zHyeXG@7yU085FH9mq}d*YCzBLChm_jYLm=PQxtYk7&FTbwuz;t>v(|8 zqi&WKh8$xs`;~~ioaybD@4^p^+gP;hzaj_dB5J1IYepXF>KSg`B-W04!YSFKn<HBkP%C;9KD=d{eoR)}n)|D8(wmYc`& zI{016)Vp|YEwrL()hW&Y9IUG(%VKBbKf1>PpZsFpO$GVbYESKwi46dS_q0x;}@uJ9#oCm+13F z-Y8NmP%n{PauYHA_kh{;Hgs=CN6v@4OY_;%*_kpDpQ!l|?NjKQ4U8YcCTSZ}wC^%wB9RIWQz%wqrRZP7MjQrFpqL zZDotfZ6OJRzT|CIn7?0B3f><>3l4sTs7%z6SLQwI;slRv^s>oKL^h=_XDc@Z1tbAB3HuHG2*6cp3l$$fTjz87Nv<0d)X z2YQ1kfbwTBr4PhtR>4nQ{${n_q zkyA=e3~;_FNbJILH}r#xqwOJO#}kAG_gC4M3)+^^m((rQcbY`Nzj78<)-8?W`mMsC z(tKjMnNnF$>C2aw!^3h28$4ORU;pfD!FBALlx^E58@|vz*WAKTwLDi^sbNxIy60v% zK3cY0mKuOJ5pX3T!J02`jvw43QugmTS^1G9=L~m1pSva?LdA-{QziWPR|j-VIT+Wt zrQeGH(e0xNW3Op_(;kLXb=lyk?liIx@;kpPK3*cySz?6uKNg-t_@qNyB6HJsh`Dv@6q?IaF)Rcr{u5)OW|YLY0K)Ff=-)^}@oe z_T`1na6uZpqUGkF;?l=CJ2WVHhlLkQK70znoVVqVp;2Cs3zf}%_e=l@I~RO56vmEb z`xwa8OAW0DL92JZpjVh6Ox`CMnwbFFprwsQKL!U89t1(cplhI4r|HGK;pl2VyfbEF z+L%<*r=iHH-J92vQ2wJ>tm^m&H>;T(u}jFJ^Lf`&*w(wJgW;j{3&n0a))-=^>X{17 z_ghY$c_O~HgB z`MUVOmCeqt)SCzA;<`Ek+^1HP37iC$seoHQX>LC6mBGWqef2Ue$(D=ectOakIXl32 zo_oTD&(C9jD<6{cg;jtPzSdXt{I3(AVflusRT_$>@!5@xXqN3xc8Ff?&9b~+ZO%#}l;fY}zS#Tj*sph)p_IeHSl>z64ViRb6RenrkI zr?R2(TjMWM9tMT4-e&ZKU{JG9zM;BZrQ`(fev0*e;=YGeQ`#*CD1r4Q%Y+|O2n8vD zIjpoy1c<5E*(C}Znl%XrF@)jet>NYwnwXGrYsD-hR+8%FoUe{WC1ajleyU-n{V^BR z6Yj|0?{mw{Pv7{f*7=(s4BdJLZy10KkXtw-ad}$q>L4hYKj}I^`CUjLkmETxSek)AZWZ`@Yy{Fhw%^Qdo-8GofFUNwu(iQNS#cq%O+yu*~FrQdeDXt>g)+zBA; zy7w_s*FS~uqo8};K>*=DliObihE;$5{kgqu_!z%#(lDks1jl^4R1=XMSvUWTnE|+0 z*PbYB=THDX!}I+52dZGT9L9C%s~Qo<9^8~tXq6%2MA@#S{P82{*T`qms*XaL?_|XD z(md!&Z|hz4?w>jMKd|xagH6riUvR6g6u;Y*zuVQAGMx-4w6^@lvf;p>sPnD%G86kq zFC9seK@S0tZ=W4HU_lpORZdxgg_9m0NY)hLkhp# zAAF9B!=g%OFwLv>Gky~0iWVBYX?!YGG`&%}6844oY;D8Un#MrUb;A!OmAGT~A*7@SL( zo4K_hC_>SlWH>l5P{cvy@{^qc>@5Q_vAjV@Q4DmMG;kW6>vD_R&Hk}FPcC3XNAlp^ zfTktMA3;RE5I@!Nh7Oc!)|OGVN_Dxbg} zEXYPDij$c~SEq|+NnW}XU1%_$z5M>Yt!dzbjG6@c5d)N?;_F4F+>e?l)YhMlbJ6cE zugASi1TZxqG3mmuNQzONKVHsC&OM_jh0Z8*5$IPEc+A+y-;1y9TxOHhTTII8y0)i z6U6Y(fnn$C{D!2auI4yzmm(@MAkxY)9^}{9b5cn|U z?+aUe_ngh2n&5?8@<8*;XQN{u8Q=0!^5QfC8tIxLLns}OERp0~Q^6RX@7?iRwI`r2 zS6#zS2jAa$dA(b8y0F~tXM7&)m?I7f1`{QX5`<4fN_RWuHta?=u>+XD~ zQ%izbupI>w(oBqwtRM9jRC=)?Q}d=AEFQ(dvS^zng|z}=R8euB6f7N}s6wloo4dFa zPZSy>aSctjIe#G?9klPkc~<1Otq-<=Oi?>HzMmWRl=;c_T^#7L+p1T+QPMr$oPl*% zmod9V_n>^s-K2zyNWf}1h!3s4B%pEC-(Lh-@=Y1#1 zdn7Ua$7kwM0)M#p(INqeVuUi}u9i{CcUoG98koO{zZIo*cSw?bDGyK1-Gp%b?POIV ztI~*B>+P8m!k+eaCn1nn9dl#2?}{fRzCGzX&*STU+%J9N+%bl+xCI`fc*~(!^2zlx z!SAA$4hL+jb6S*R&*mH<(b^LXh(ad{rBq$P4ws)>@L-Cu!o-f*Ywu-G3;fq)NzKyw z1HY@^GRk(h>Y@q1Q@ysAtl#&_0g|sh@TV(lLzT`gPfqCbnjMZXrJ=HsS>SV!cI542 zW8uu9dl-;}^{aa+fl5{IT+#gls-@*`N*t;D;ozlhi78l43XW^zQgr`xM6Z1-r$A}F zZ7`@*Q;@>#%~i&A@wuH8bUs_KX*!uWI%p!p7iYtuxl)gB0}kP{wY+9U;Y6(WfJVV>_Km)laT) z$vvlsbur%Jx2OM*NO1(V44r-Qi=b6ULsSDfW%+T7RdZS?^Dn$RO4a^?k4RH)CKLB( zNf>M{bTne(IN&a@XIs5;VkX9B&y8?qj6|cdpnJluFxQruJc-jk2&5`}YoI=&)+C`p z#;){On_&?Aa?hcE{iE67rqIFMAZKxfcA{Oze{e}v=Od~?SgC}|59cp*FTevXBZbIc zQS#HB2ZvVcu97vY{{uzEmI$zwSE?dX;sLcZY_H8@`40~T55Yaj^0_^ z+zp2i86;wDZOsQZHXpa7-(KYC7bJxx^(QGG=Nab^**KDPMEPbOXIVux=k2@E4=oG? z1IS-)nfLFlWq=a~Q}0|&MVMO*wXvVADRG>Bn$f))eW?36d>6_{{%jor2~u{{v&tYz zW(tw@&rk^MM-4kW?J3$}Q&?uIW{EkNjh*D|V!Y;o>P3F&q_((BSQBK9K7Rv~@IF7i z3GsC^;N`QK%Nsn{i2^>!ps;Lk%lyUQVa{J>EKUY3D4w?;hD20+dgkox+HX|8k{p=- zwlV*A^_XZ!Mr)u8kpAKLbIg+KhzvdpV#sf@!WuFZ)y{zo`S_ZHpS{q-I z%9E5FT^u~CAHI>n_`+%}8&1`vR4pz>M3S?Mof738MLm$09CL9rvE_!ReK?OC;o)OQ zsvQI}gh%&n8g9uSd{Q2 zOwX%s7U&C~HjaqO>ciy~upjgEAyXwJSY5?p!ch9cvgb|D;huaB@KGhXPS}#7beB!# zA(kLyE!UNj*8Y%Ct4MKF_;koJd{U`5rPRSq2 zkuyDu1;*Mf=*;&{bP`RVQ^CoPX`o%)S*~*b#B{@zk4idcWz5y;ZI%!4);>QM5)MjO z-_Y4mZD}8FSFKRxZ_$a*e#A@AwgJglVE5b@w`(}m>nFc1j*rddfUOtjP2 z*Nn)tLJv1$fLH zVVp*sx7G8iSLDpcrO!uqTTk`srci@S)0*6 zjb4*CNKIk)6 z?9WB2inkB6$MWZa#~}J{l1EW|WSrX;A;&&p_Lb$on$COW4krH8G@L&qcn-w2CX%Z& zRCR{=XKMo7WqKCf3blF{;muF4IB!(Omm-I4fD*v*LtnRo6A#^bQ;cFV${5hS$0l>3 z?-`A$O#epF(npC`V5p|3`^45mh6pB4GQ;|xFJKzrPq>PWbxP;D-Mw2->Bu^zY*jSR;n4c69k?d32BKCRTE1Eo3E!{ND4UTzLU=ky>pJog+Wp(X{ zHvfj(sBXh9I+o#I52hthudqW|m#)Qc z0CX^9=8md>=<-CtB-C%sfpz3DFg@+A##I{k)uAxH=cZl^5ns<-qJ;0)mu`WVIX4=^ z^T;_jQ?R(DD&TY`BEU4SY7)9XLt9g3G+3_+nQwTJ*XsJ_q!0X-@Z1IbdaQ2*``kP{ zleLziPxHM6?>2vrCIR|0!gkE~VOOPIeLA;c(j&5KypkfdsfveS@d?;wR`aH@y)RQx ziRT@{h}h zosOkdRP^qz=6@Qu0RM-a z#ZIlS&1v$ue`7Deh&2KRGI1Q`%)6|~A5__SMf$ASc0pNUPBNyydv9`NQNzjZBgkJQ zlC-Y*8}`=Ue;EvyrvcE5vR$@iR|T8OhF1{uE^3al-x6F9VPpvEGYcsR=-`t0rQan8 zbI?|xAqMoDw{N2m{#8GDkUj4Xe>L79&FdB=Y|6hiV+Mw~+YBQ^ zTjwj>HL}~-4D9puL_>Gn}N<|gF_h=H^@UWQA((PX_?|ZhcayBDKG~|EIRB~aDc5`v@ z;9K`!Z>w1-!i^A~6vFCh^W%5^iJwnYl!GMy4a4&z{6MW;^ZMRj_>dPk53XifzfvJ9 za^*J{z_z=G$Foj=8`C+lHlL}A!JD>+@Q4#S!9oT@u~$;MJVI$Z1DRD}4&eJKXi2LT zwV8xP_)f^ZL!Zlo$YWVp^U*)372JfSX-RTz8~UP1J#@MxF3w=ASxb{*@NsGAgA3i_ zz)b>(DnvfcB6s^&wcqKRxrQc!`l_=-z|GBL9BcVK*0j-Hn2{5+yYZ?7VSFA>4yr4< zD0&d?Gb(iH-e1;DYIyN`Vgu!>?Kg)PD0ub&*qyhTumPo9mxOst!&*$%1c_?8AQrj)1-J zb$KF&9BHCz+~bSiqj&Dzq?wR$Ls*Wm;|DxHVC`LLXe7Ur;}C4;2LG-p(%xy&47tc_ zDA~O;7=|S_+b4?tJ;7Z-Qm-ftKK`UsIp$V|4J&GFru+m)Yp+80^vAjBxo|kr`?JZx zlUN=fK3`pX6wh(nI6ckVm^H1|aJ=1$NBk4rEMu%I+Tqq+j#I`NN@3(|;kCmg#kAX7 z@e3Fay18g?=JjkKxqRT|jy14iL{z1m=Et4hcjKXgF<6%s# z6X_2pn<6U(H1epDoXA$4r>F4zjLa`;^iIQXzfxV&j$y+Xxt)uq8|@&!^#D^AXREcQ zUA0=LQcxxATbNny$^-}V8W|`mAaj??-SntD$C`U|ji%qAy=A*2R4KFB{DenA*B8yl zxOSG9lq50X7l*THk)D^Go35e9z0@P+bkKx{vT@_@;s@kQ!hHtOe$jSia0$gVu%Y#) z_EW@YZ*`*4~g&|mWqNsr8MCY}tPc7aPBU8W2Y2sHlEEW291 z=mM~cyyc<#FW97N$i7c{;dg(PYeimKnul0Ar-%SSGw9v;GW?T%YisLE?LyVk;ryZd zQ8P3PIxaBd_grPZ<@9a`DC_qte$%HM+G$W_2dnP zlWSwujyGsL4;nZx-a{6>`76ECjFK50ZS+xKo>Rq|dTBG)A7uSDBZdeL%-)&@)RRZw zVdwWAR4d$?J|92Ert{lQ9+S848}iY`7wZnAqbEzpnlou{-E3#XB27A0{r!w;iEj|4 z&T1{NOZCCiV?+ekkSAD|#J22L1sgBBANpJl#1!<$Z?d-SDk7%X&IYsd>BUj$zG~aU z{Z5i;$yA7vT{^V3Jo*0ugPZR(QQNDWcEA53YIMToblsiApB;AceSwid9)5h8JL&^1 zx}}!uceD^kZ`2h3YACpRq>OgK!@_Q+T)ROO4qk2n= zKl`%&$ov!oXcTG3UB>k&foQ4;XQCAUI2OEkvx$;pUJG1t=bq|4?Ps0$6L5o+d-41s zsR#D_^47~E)vdGq8?o(InJLfF6m5Sq+VN+arRHF>z{YeiFN#W!XrHlux;7Zt+#9H_(5B&el3()gB z2NCe4s|$T;NK-*FP+>gkgb~P$Jz#()92_NsksVFWxIZW{FED?(V3?s$yP{@LkEYM5 zvbtHe&OI*qJj2^iDTJNtp>n<~keTc;<)kX7_w=d~Uf>ADP!E52q%{P3aZ?qED)Dgq z+I@&@7S3wot*NbLX~Ft$swK=>Z4=#FE?EuL(Z*h5JUS#oPxRhwVaN5y;Y82Q1nrVB z;JjseyYF|4>Xb_Ti?s3q&Q0soo5y1&-zDeQ(PK;*GXnXtOAX5&dZhXOzDX7elFhYl ziXsNXl)~19e#aAN^c;{PKKs1=U}H`7`5FjeO3x_|(=#ZdZdnM9f|mN~mJRV9o^L|y zL3)Y5wv8_llIT|so~?qP`6wZd!umABTO16W_NDQwP9I2l8h#WzPu%Tj1-8@LO-m#l zhv(U3qKr7C0!3>1$G(ij4)azTGdNue_|_@WA5|Mlr6XN`_adQA=3HW6h`lHBJC60t z(cw)Z&)4BS(KO6Fft@<-1};9hNYnh=nOcj zsfO>W*|KBsLl@9nO0COdjk2Gn&H9tCl%sN?PYm3C2bLQBq4Q#prUpBL{}-Zal+l?| z(!@AS#u)(5m+StpOA<>lEEdxjW#h8Ww26r2Rm-tM52yd;DIJACF-%pS-aivHU8y%sw8|1QTtYDP zNsWqXPcB#f^I*l&;ROMPpHx+2HA}0Fv=itx_CN;4rjsnFw+D&c_{^6UmE7?l12VP` zVm`m#X1D)uW-xM@q0?rhN9N-T+6vu;a-TZfSEwZMI;F1vGdo`K=q)cdBXZ2)qm0*e zd1JrY~Pz{OS z5V_bmwiQkYSfc!N4#?Whzoy9vPe!pcJIb`Iof$NZ-&7_+N zZKcSGV0<#=TlwmjGgSf({!f?>Hsp~?pu13}T6N3&M*>%z#+(;4WAdnLh5HFcLe8M) zd7pS!ek~{e7qm;9)^z*Ta@l6PIfMNrBpL)+VA>lQ(bLam+mE|5wti1#pY@K8^B zb%UcJK=IsC;3t>C1Ut=VjXNDIu@$4OxR?M^q)cTyax@Ajs;t3Kc~r8iiF{Wqp8Upv zKTsI5CtK=7NYwI!EBZ6pKZXs5aVC$2%CqO4)GIzjn(4e{QcSLduLh zASZP>^J>FF4pdkq7~;n=P1!X5B#+PLEc!mBUK;)zP#T8Xny8{n8$B=$CqWJF8V>&} z4;`5A*zJ{awF-_Hj?!_pa`^H6zn?KAfz6^lB&}sgMV+?q0st_^d+|oSj8IVd(0zLm+|GITjkO)=w$(qizCCRJFkv6PBjRiE z9I_KrjchhPloVKKo)BETAhR~6hGQi)t)Cl#omB`RPDN%K3hjNG9~4u@QG>(>IX4*} z0lo?Ove)O=1XC$Per>iV(OufZ>t-PU2RsrOBahHSCt}?F+GQ8BBSp=}JhAMt8FjDr zI9^@uM3t2j=FaxdZC=`k>z;MpyXe#d;tK5IVO2098O4QBn1i)3EM#3~rZH=4O_a>b zrD`e62>3itS9Kb)oYo`=R|KZ@58(T381+tww2D#ur&O5B%1Q(LA$WexF01d4^gL-| z%SpASW|^qL#*{oVKQ9OQd4KPYe;A!Q4(m}kL}QFl4bT-+vlRW0xlk99FK z&_JD2x4n87$J0CYOi4nzKu_kzrz%LQc=pUUhAo&@ex${qiKu)V8jPUxj;mpJ?9 zeu0mT|Hp1i)D7Pe>%f1zEbyvvNwF_#FtRa-{iP`gdSa=8k*R%@FUV%hbX9-4o9;gN zk}O1m;b?q%IQ8L$eJJ_>`sabRSmOsr?#KD;^jKMna*p;uZikntHUux&D@KcM+=m|; z@9tl6YrRXMNe{f8pEes?jw*c7<+<{r|RbeGLyqb#M@;b@`7-O-nZ00XW)mU5gK=1(?x)H*7 zYDpZrI*3}eVJMPT{>&$GMjvatV5O8cFXSyO+~|dis;LUfOy>HjQT~mUjkZspv7lOFpgK`J|R-#*ddRX zNng-`oO@1+q}3dhN{oUsRa@p2EJA<^vDQsf9Nwh(9xAuOD}A0#7DNpvFI6g>)eR{_ z&Yx2MnFp3`qLuf`H7^=9GfD7qDdi?qDY+%PA;PcXwBOLywA>RAq zP-c_MlXcN2)@1FGOGr;_uolFLecT>Pe*QdZK7L;8j=j;zaORO|b(f+}BKs6|q4w!R zFBU?XI2oqy`daaL6FJ#7n2z~#GnzH-KE~1YhEG9^sZz z8s?alfD}Q`j;EiQ8)^u@TOB7Ag3!oJyz~5@AXS(pRsm}%KtCk#H`}QtNsP~<=vKKX@;8?5=#JI0 z6!KtWBX2Zln}?Ga7cuCO`6!J|^@%(jlG5&ZUpZ8!{s&#Zm0!MBKMc-_JfP)BRiT2B zs)C4MIhgFnttQ%CVKtSm{|fp_#iHWK=5d4-|_-9gS%n&hgg_^p2;BD@vYucW{K za501p3su@~wJ}z>Czb{n>c60-{8n1x1+=%%)R^S8?(GiSTZFNb^swl%_NI|DICO$!7%@cVL*=7z-I!HP5d9A7s2H_DWEb(0ywiGtl~A7Ev>7DEkD><6T?8%ga5%7C zifedqT)bkayKFr#i49cqAkDJlqs+z|TscK$MLin4>>g}#uFJdbjJifp56vt_Ym5`a zMP4ycd8KK1CyKk9vba4k1L4dZ|38uFW_%i^ZlTDlrLC5(k5R@)=W z8Y+uyG=PE9aw!E7jwZ|K^RsCp!2p|c+FgE1&_0eJEFH>wcp zP)BOe9eGTGSe-rUfRcCH#295O81Oi^Z z#(eoD$W;PBD6LA!t|9&58KLSygy);A%=oZpfQ&3G3}UqMqId6hk2NA*zX^Q(1Jw!{ z4fgW2as0s%{PE*bFky{cL)U%kpvchCm@kwkTOrB!>VD;z6vL2y>llw9t|pA&e9qKN zs|q;F!|>+cM)Z6FW#w=aDk<+oA#;kd0$UGViF6Wlaoi#%+O==&9-(3>tDYR|ulE$u ziMuB7A6^STct*;|gH~s0=Mj93|s9JKtuTHymaF#Zyx~UacM~y=e_aVsvu2#|B3v zerE4TR@uj|=@{<>-&NCRz`b8jp&rv;6it~OgP1LzeiciQy!yM9comwG$wuN>uC`hT z$c^jOY!1@D1p!x$oHE;4qa)WyR@P2G*6Xf48E_WztIvl^pTr~#a>d$ymmnlc6$2l=k56TIFrXyAXpBRZTuVIt^Fa#ypAfO^;msz zu}oG{lA@;mKqZrkA__!S1m=VdEfnJugwTw>%cq-@v;wN9wTH_~A+`yV$l-fQP5ECW zK7U9%2Vagwo)se(bjTi9BzRVP zLuzK{o4mwfDV6Y>IJ#w}R~D8+i#MY$Z+2aZ#0NEwI(RK^NRS>L+oV%^Is#Kah$$4=IcH;-G(>X1S2 z{S+S)mb|7~Vsk08PvqZ*&yW)BzOg_<)&9us(SA(~d%#-Bj5!Q3oly~{PW`W;^e_;2veCg*zg`x}T3Nv;F@Z>Q%`FyK_ z#nS;|9CK*Y^ZDEcn~Ek3Q1R|NImPeGk-m61f8VBye)OG(G0yFf58csnNf2(lT>$=aZ$e?RFa z#g^91F?fE(qo=qGwQD@zV$v1voN6Q$D-Q3NHo<_15$fo3(?Kc#7>)@g; zc&cbxwY8R2op&iM5pI+E{&Tp63!WY1hYQA22X`tSmbEolE=CvNGPV)2_{!BEj%w&6 z*2@BJfEJ48)^+%@R(1#_Med~pM9gKgvqV>>k8?aYe`=Ple5UvCam>eBJ5ThVD6XmE zwG5GUwO^~-w>pu}sb<$2gYDgqR|h=g2=Cqgj|*TL|9qT7{dYZu>XK{5!=S;fkDyS) z6WX&_X5HgqGqFo^x&nLr>J2I{?_q`p+l8Nvwrk_v;vHs|zN=R|DK5=+e<=Oo4@ekb z}o$7p+%qUF6!xOPCR!voM^53cj)(NYve>`ATP(z znKhYMfvcHRzdBOE17I|^9IW<|X%~=1mj<4F@pM7Vt;bU$brK8WD{k$&jyH1ysAO)B z?hImQxMg@dCZs}?D-8+CVvni4?B8q~{BF6{p8Qj6d!@qI(w;{q4i!(rNpd6RP>=L$ zsBkHT?6G?lV|oMV03;r#A3wJF^Rs{}E&PVf4?RR&?BC9}XwXjoQ^0((lFQN)NOKIm zsqh<#ql4_RdrZukij|))5DOX3&%tqebY0f&IpX}!Uki_yOaESUU!<2MX-Cqi>)1qU za=L<=iQT*gH?+jI;}XPS9>U!AUtDk_HwndEA)Css4DUz^rSxpVd=KT}_XCBugTG@V2s{+dY}2oD%<8&VCc)bz&9QxkGMIuAL2US*AJ~BhlORN zBK|l`1NFYu^rJGE=6 zk@-VYIF-bt+EE+HZnL_t1^f1d&xpb7JK(DN5lS{f`@K#|Ow>G;+2q*^KacU|YA3mA zmdDw>K@kTA$Ty#7IcxKcWM3z=mY&Y0Q?!hy{S`HYHb6pXga1q=J`!)Afm8u`K_`HW z{nCT5o5`;=WXX$Voe}1Z&k3iJTKJQS%>a~rm2u&-FKXbSnQsH~X}Jmv@}88I>gyzq zzs3kk`owWnz~vvCyZjv~EVs>^Yx8&i%@dTQJhGy-bgI6{<`}yO#X!pUU1avLjZ*%` z3!#l;mA_v@3MC55_0?&vN}3^0mW52i>++vIH$_6Q+9DVk0vU0ywS z`?tYrkiXrCrxV`kiAJZ6k6AKiKj4%kCY&NWcd^yZhH>jM{P4MGtt*2wu8IFs_^H9&c)*=f{Y%wr#jR&rEbe#J zz)d_!_#J%V6H=$zukU#&v9U0d88$0vSh3zEwSTiKIbn4f)zyD+ytHFxh&YJ21JyD1 zqPkdp@=^C$!y>4Ih8Cbp5^kc7jIc7|txa}-7m z84+*T(=Y005Ma;&JEUN-CnT(8jkmW)zuLwwT9_#SnT@SIR&%>bZ>(Gvkd|^kyd4e{ z4mhaT##CR#pEsGCmL=N=q!Ie?HE=J}qI8)JKmcSbIOv#O*HjWNo>a2wmTG1m^A=uP z3Cg`i2~1Pll9x+@_w{PXh7a50^hz&wON%S@3MlV>^E=(n)`&QPRxd8OHlb>Va`Q9R zx(F@!tXZFs2)o!;&2y-RGTYo)&nW4;N#+Zh2$)6gvV+>ksE=^O*<&?=I4UshVakY7 zjPL_&UL9Rd7w+!yo*I9lWvIj*^S>W5Ul};2#ss@Q)8=S)j9E^vkq^9#F*lojjc(qC zE&Q21#OlTT^ZDm;=V0OWX?H7w#G4FKEX(#+*~aV!hNH^b?S;b_ro>vxba!J#rDPAE z08#^J2is0B{l+3%NWJ;FV3EaF6c(e-vl z-`a|S%cu|Kvt4U9`E)A=myM_CUe=BIJH=ld_FNSvwZ|{EXOw-Jaoee=xwh0_md$ou z2z<@VopWUwvd_O5)a-?az3YD~!W#1Dkc8nnLX?q#p>L5g7^RV6kE~wnVAGx9&_029 z=m^OR_Bg=~EVN$yB^Gc%@2s*~z{Aro%y-`gcr#+}y79h9Aq-(V!|V6GNlUD=0dAyN zp#snNNVd)=+>>+q+}#EWh;J6$;B~Y4fOT)pWEZ^MKEG*ZJfm8q8W(M@)_y=ZrD;Nk zlnP_#EdZ#i<*fS>xVB{qENRXo(NiS{&G>{eW z3%xdn48wxkqOw@GwT$@L1Cc5GFNj`CH`d^ATY%zL&}zv_sc6@Rt*T6^-_6II4LD42 zC|G$p50Inx+J<@w~1xC+$oF<53C$yzvbJWH&^IpFnA;WXMOgzM^h!P zQT*;nU+(SBBRt2F#E#38@czb1QKIU|ZsWelsJW}@$in#(>d2(U_14MJ?&1xpRFnx- z`_TKAjf=s%^hxlC&lnUEL9g+?a{tgczueVmEQm&`i#ai)=L`;^%v)2c#>kF0T5&QQ z`*3}Kn@aW-de(bioOKQHy;}5lRbAn^e_DX^S_nlI`_0IVx4}}s}mFz{0gRPkYVjV4ac(wf03=MOd#jvrQt_bgVIac5R$!-7nV$#2J zV+;K0G{HtjMk)kdfexZX!kCGu!+m6GODgQk}^ zyEm(w41i~r*OzA^XL7{7rQX&Z1;ko+x6mNm-}3*8J!{W3or|j+Izn4@lwI4SKfCS} z=NVvH%VBQPxCrt8If*8e=h{+*cg(&uovh%);UcNIH*28(18t^#&a6Ma1il>2_{7y*uCvh4iY%2vi zNh9+c{<`-T=*=Czp9oKSHM#zzpeE4n6@b861FAGhBQ#lqaLKpTe`>tH)7S0-@{LK! zJ<>%_;I8l;=$Dk>tjYx{i$|qbWpmWvu9f8IUi<A+vduR*@2dXpOEesezg*$2$x6_kpyCGd`!E<3 zJ3G`V^X4lj<_p0>p05Y&L1PwS_dVs62S?$%Yjd3M+h9V!aVl>V>^1x>bCAqEh+ED7 zp~+-bB>0v0usgdB7rfnUm;U22XYfd3dhwhq&}!0A^+nxTgGn`m^TNnM(M6~>?Pbfi+$_SwGg1%n!I0$T;GX+GxUVBK#KX&A=#ha^DKJUK2M2DMi+wu!& z(*`GT(|0@==KZkKwA@qJv)nDNRaav}w6-@D8vB^SH4vp4?!tfpxaM8-Wgx#wJ+ZLX zw{fqCxtr!v;dj**HhcwJ!3mRnPm{x5pJYA?tD{S*mCx50+9>)|P9q`;`TeXpn00as)2!dbB5-|d9!Urxsx=;w0JWTyqfJ9p}tRq2`fFaE$sP7u-$0FEj{u20znQ z|45F8n`A&Cxk3Bx`k)AW6xMe2Nza|1+)YiY+&e=pygYY>@e45~1fG`JF;#mp=saaZ zV~!+?KlD@FYz67#DncP!#gBOl`3)QKX#Q&wM6ZPz4?i-K2XB#ZMcV*`ee zg=(!WB+tBH^vxNCgVcQQGveR+ClcyQGds?*eo?2jl9X^HzxUvCW;VIa;a+)a7i_Im z5yjm?3dUjlgQOG3&4P!B`DIQ+BLnb1AUAFXha4^>eP-N|_5Sr=a_?Wy#qZ0XN1a14 z_^O21+1>->W%or(gbB?j02__BA`Kc)nG!=pXPYq+jf%Ig^zGoWywd>uK*%x%c`|=Sd_V_IUD;DJAjWY#L7CVe$kM17Qi?GA$3LyC;Zgq;hmESI^<|Cp-je^8 zz8?g0gTQ8vm?CGvmRCpK)O|_`5n|da00pNGWRd6dup@NOVsde?6P}ruJYAh*INkM! zBjdK&h1Lu6=5=3Y3>PX+Kl}ab=mR&59Kr`22hKyQl5RQ2cM`)7}2}%$W%$2x5uw> zeCeSwRW4^GPbKrr#%-&L`};n^`JUHd9w`z2$CAKZ27cwv1eM(2wi0|(c*dk_FS)`v9A?2saTi)EBX6};6xqA&ia!-53nw6zjp=U+#Yf4KIBO# zmAg)`{)mFkXNMI4@=BpF2xR@Di}x0OOv^*#pQJvc4dHlf$CiW;LtwjMu`%x+Xang3 z#OPhH8lSUqvuJdd2yobw7LztatQc1 zorm3PW!zR-jmT4Ya)B##!?8bgsy;3p#oVBRP}i*6M^Z;$q^!!L_3%P<9Xc%zu)co} zpU}E2ZbCD*^t^raFEkNmfl;bfrBGu_fgv{Bmoeik3oV;+2}jo?)$1?z2uZa$BW88% zZO>y-ew{)AuGUceGebi}xLtLoHR@(U--;J86)oBmY%8(PV_WTeu`Bop2ZMjqdTkzE zpqhEY@58r9a~2#r!`mY?60&8@!^1|+NlGeje=E&TrI!0vF#FrZi-zeK*=9hJ4Z^uC z_n>!zbF*6KL6E)ghc7%9qSYk(;3~+Dt`mh`heipjJ(L^PZ=;K#XWMf^Zi~gENhm3$ zeYksdW|Z#X{K{Q{Shq;5Po~7+WI*UWI?s!eVe}T8($!|`qfW`tX^$}^Jx5c|j_e$5 z=Lq2pFJyX1@Q%_maPulD(R&W~2dha>bux=n7|KAwJS7Q9(E-OV zoR%RJr6fWZw?jOd^(k&pxEPxY004ROYaODi>a+${qh|)zm$6PlFzJjYdIw8k9&PSLWp+JCG%>ru6W-SKRivU(Nl zbpp@NP@<;_f(fCx5V0>w-91L|vyVyyx{1evH~omfkXie5-WE10v*|1j%fXPgcD_Ho zvm!`trEji@2n5Fz?uF^QH~G8d4v2I6(c*zA4f$bhkLMA7j{7e3P&Slb5MZ9vr@94k zAI@gI=GPty#$NrP=O2JnUW-Xq_o^)nW0!x6*6a3mD$d--W#)CDJcC#JCLl7(oU_I5 zvuz;uq+{?|X$e;uasypRM?JPh7!b zAyf;j-wq5A^16{Z!~DWgKs6AV_7%J3&adNZY@aiy=F7s^S9tLBk>OiO=n<~L*b+im zyd?HtQ4@KZlWYeWANHkyj`j4?1>sg0{powdmR0K!qj}t>be;t7e6LoM1mPAAXUAN5 zB%UU`2Rq5391zp~g2F+oVd|tX#nKYR#{e z_SpJ`!!FL~=f;{)8k<~V%MQE;e^Y90s=y-*_Z<(nx4+xT>uKOV9lJQNUFKaYCV?~F;9^~j&1C%B_DE@?Yp34&XW5` zFkI>Uo*S9e>ZP&m0E?a*Qf7g<9MU6GH}_toV|ItoY5V@5cZ?ZHKTtq1yH3^f{%8z<6YIw{=fs#Xphs zg_J^8P!yqKXZDDj_R(x@>T0Ve_}M3(Pc2*blovsZtq5(VGduF>+m0q%U0ky7=yW8v zQzq8|lTl-nLGk6kzS-mCtri2&C44s`Q(ae*q(rg?eW{r zJkIvd7p{A_)y4&O-P)b%zctTb7fd@+nR<<;Z%N(N>vg$F7%giH6YEAN{O zDHI$IOarTLFH!7oIdRg)(o)D9Lom4`J%yf~Cchq15ExW)cCyihD0q~)Fa(jh3N4?0 zb~0+G25ym?Pc#-j2)K5W-de0nvoqNsPQA@g4tj3g*$V7x&ZS-g6FZ|Ga6yk*m--u$ zJ&7>zI8H8i;e!1=@^Oxm?DBOOZh?!wG-@mNjtLG9y;f~Zdq9Br1?zJbB$-sZPxcoA z2=_lnbuq%1!t`ku;_9Z9L043PEtanF+Wd{EvO{v!BjF}GUv^kze{G&(TDD7KLJk}bw zD*M}2xV*hd9YTc9bf~5NRZ$&2q0J_Apn!zj1bBbd;$p=UKj>t&sxJkbcysSzp-k!n zM?&Qf-HV#ZPy=e~h~2KG{CL}Tm5!=jwV%G+XatiupS+JyA(Nz?>Szv5J zoXS7LBdygnT#=gVn<$@c-PF|{I(~XEP5TWOhZkXId-FucO0D>YQ#=eTl-GPq?LPMb zE0PT&p-8Et&xSJ!huZB{Xjs$!@im0Bdz|Ms zO*MhMpTgLSc#jx45c1SqIK6vb>~fSL7YotKBXP2Mw&%TbD>V1$xYCnQ*pp!z=_*yN zRm}6sX0qWXpzHC})$;s_Opn0C0L9+#&)StPl@I*59g`}(7EJc;bE_doLCySA;`@k8kgF4%ahE~%UN7Si3Bt-GL- z$k>2O8DSZc{wYix=xpNqniX+ja?{S(#w4D6aJ4C+E7>x1FB!Ma78qR2`Lsv(hCn&# z^yG>D;w7CJZV`Fo9uzFatziu4_pHDiI+{@wgeJJWjkxIyBM>%JaiiTwGhoY*uQ#l4 z-2e(6!W8Q;DS)Gv)KL3ri#%ax3iq&IIcJ4I9jgs8ow6ZqtlaC0w3_pYA7sCFbQ;i4 z-G{c|c^aK&izxFGbplLsC1rwpuO~SRfgy5zQ=(6=kMPWh{7nh%Q^RGd4XnIail~IX zNeH^(`W{MX)f~=YK9B9`jLPj#yrN^;_egO^ZZ~)|Gd)nK!Io|ve_&*zpRErZk?BCoy@*O81GzST9(B)nb}>AkVxlz^?wYt{1cW4~Kx@+2=ZwpnvCC! zY?(SHgSAUshj}DxjiONGTOMn``O$d8Rgcs5iMQ{VMs!({PYgbc1V)(>zT*12L<>@; z(tqKAa*86SKNtMzE26`f5KGWN1FHe~*1Y6MKgS?^8CTK@{pBBdDyRBJn@*S0%?BIt zB%M8|Cc*I6Xh`}1IycL7J1Vesf}#p{+@6+$I@QtQAVexOcIn@54FKKT6zKAt$yv1({s6r7U%Q$Rn8zB9r*`FLEM>z4y zny^}r5DLk2MXx^d&~zasK&EtPIuMtr#DMT-qRKdsX02m%F;}7pr7-CXn&e--6zD=< z3B@%Bdt9M-7Mm+ww}%eoZVZ!nN;eo6;Vr+*Tuu`rt09+@vV z8R4%d+wajSYmr)gSCQpVU_Hq4HeC5zhjO4H;zSKMXH`G7r#-i$I}csCA;_LDAXhII z*Tk4O!`u1$1p~$EaJnryaMgZ_-CgiD^_EKmd0xJtbOj@htG)38``9n{#J+sOT-0w% zX5`Zzr2rqjwUjG;23pC_`R_GOQ({do_wv(tXR2SKw>W-1?Q<5Li{lJO0P0gS6GvFg zi{%yYQEvP&c=2NG6IJ0-H*b>aMMBiynAK6U^z%*Kh-M$JfyI?@b+nL%*Kyo9v{bWa zS;~XT{hIoDr<-U$O$K-7PZfBOt7t`lTr*sG|glQ>QXsOt@V zEHqiWd@IsW__=AFGqsEY!`~@ALD?!XD*`-(F3h8yo}h;C$h-abmEs24|Z`b~Xr^KVuo_T|ZvqSBoqGXNEQ?q6GTt6)6a~zs_Lt zii{M{5}O^$-sP#L%Qe4Y2TcBra={d9uQF4%hi`t%%evcgfX}VNF%W;uurGO#0GO>i zGOfzQD?;7T_XZEPnPD{_p7c};gE%Vlj|Nq(k50g~B2R4hP)6#kd2oA+Xk`AZ3-@o= z7Ia(j#_nS<=BN4>b?9t;x$@1mpR{$iQ~mPdWFi4y!xcnilo~_-jX68r$l@Z!|6k)~nf9VfZ|PeeH+z*H@4PBA!t>HBI)$u7+-=gz*7TSzMlf*U@3w zq>ITQjS}FA>~cG86?gJH7LpK))gB(wY%!&K00Pn`ZuO;V$IU?|(H}l#j9#mK!#DC--slg9Ui!rH z___i`h`TDCW%aN(ikj%(e&ct|vDx|`xAi{2>NXNXqQvS0K}5~WTOaw%mK#^U9NHZBh8E{90Hozvl14bqdnHlNpT z>?`iyW4r;m%X;5Rp4DWLlN)S=|A42Mc3q(;KjaosDg7>YAA$r$f|-&E-JGx+0~>mg zC1Lh_vo7xMD2ly|5F@Vl%6SyPm$9va^|W}@&IA=!Tfi2R$?rylgoD|IsoW8>L0a!i zVueqnYoi4g7E-HAATJqA>MJvZUnkU>It@Xz{H4->xFD}pX#;VWG{Td^*Plruwt zF~~$R0?aLcc5|+xt+lpN9z>q!Js%C$96M0Im~#EfMWL{^PkD2vFdy*<_wOw3t>w)2 zk*ZAP`7TSXuA5)6dR%@gM_b`7^9#B>TP}9JvL%+#lWRH$)^8<5Cje6bGb)wWX1^x> z&RnW|DA9>cvep=JUz_8#Q_K4l34Be-5w%)^h&;b^?l~hYmYKzF z7MuC5sXrdC1oTVRm#^wSM9P-$ywc?=mr8-XjP$_iTz6JjvUVa!*=TBwJyO6Gvcwf5 zP8jV`Q16IrEgPpe8aRTl&$tQ<0@!^nhINkBXLLKAg1Z+xW!qk`93P2rW= zCm}Ce2SmuZ#-gh7&_2i0D?3sXTrj-%o#0E-PBSs>)1RI5;n@Z8`DE+KfsVXoW<&x{ zeF0unOa|B(1`!puTTcB02e~Ral58Q83RKt&KhX%%XMO$Hr+Ld`iR^E4I?}A|K|U^w zA_DbEjtrfUf#XJWd5e!5IVMJD@^zS{C%_CgE!K3aGUK-fm$r_f3a&e=8MU?ZugLKx zk_4aGM5;lWn9fqKrrsEP)cIff)3RB;V`zKCU)f#-Hr7sLi#*wot1VRhfWp?*Kj2T*V_WY)#>goN7As$ub$75dLbmx*LoH_2 z8tCz;%}Hdq>&zA^s2$(1g}V&GIGxsrExc}wFHiRr4w`PZJWpNX`Cb}0BiCxD#@d6N zJ?iKKwf*6u5J84isCes10l4%evo92%^50a|TjGCHRm5?F!&`s;E^U|ujW!P?*OF^7 zTlVf8u&kYnRjSuv&P48sDs<5`l-h6vQ4v&Z7~%ywhID8SRaid95Cg2+F57AnejS1~ z5fF`Y_pkcUG7j5*jpvcAA0t1psPf>;NZa?{CFKZakuFSw>`|Q57Qa8jW8v=lyanvG zB?(Bu7s&hdT1Udj-htneSocwCZEgP9egff&Hv8XR!WDdDOX0wAG_NG(c7-T>%m3Aq z&$70}Nb9pnHBbo3fKOb+GX+Sspc~ z-*1#vI)sBG9MmizbsZOZWD4SqTt4EnND@l-9=uIv^0&%YQ9BBhCtFEBFIl!TAcO~? z_DX|1L}wHPZ2wq`68h{s-AOp`t6?xBeiW!!OB#?WpMpfXb$Pu_3A` zYW1q^SwvV&jmmvC6MO|fcQmy_$eOK7N2GZ}{aVnRQl0E_h_>x(ktGHHI-|)(^y;5Y z=SQdgub$a~s-(?kpU(#@Bd+kV-_k4Pd-jazOq71RoJ|KMQ3r?n8SFHfKqm}pzBq1liiYfFK%V0P z)cRSPVeJXZbgZtG0T3%fkg(&lgGFKzi#w&e}4!fT2g7<^ac<;trfoTas zCM%ct5S}XIMFJL%{iFP(Gke}U(UYAp&cuHpy}s!%^Jm22ke8f%>T8-kZ501LYG>o& z3p(7{(Jax|v_OO(TW*t$C*}!Pf}kW5Oyh48b@}6M|ER&giUL_h zCs!Mu7n8xhZT+!SP@wCvI(H_ddhsoZ|M%h54K-cVSi>v23U-D$78)9Bd6NZi0;Sy=W9E9IHR*tiRHx254jy$3zOr~JgxH{zc~T0XH@^-Pow zurY=o^*;*X8GPlF{0Ywv`+qM_dYk_Fq9XW0Hc4o+tnAc-C`dJewCCx!e?_Tq*WhaC zKY~`cW7X=To_|-e*JN?#s?~u{qyPDJuc|y&9SiU1rPB<8&Q>v;s+#Dn)`9atmk5BQHRxJ zRjdXISe!RlWJy!sg0f1=78J!Kd**w0k5*kAkGxX7_+&hhfC=~NMN0h3KF8+7J7fTq z-ycGpE%D{Kzuk&M+4(FHqae=v;45?EYu2z3?;?#Gp}ByrNf-Brw;pLv3vEJyU66R$ zPeKC0+G5&9{?xa%sg?~wKd@Ar%O6R?oTgLq?LB*S)3stkqUuA{t55WnD$Kjt(b2(* zpX5op_JGAXs?rp0xtcv>*4Bfwldj+6IOuF~GGBg3;a zq$X?CHK#sKr2hZp>oFBQh-Yn^qM0*?OQ84x_Knaoc}T)#EX$#O1tTDh;&k6a$+)>d!QessL^Y5H{Jo}FX2YZ{9Xb}Idar!#nfhnb7+#I+%_%KffD!x zlHA35I#MM2u zA1Y_RsM~u%7n05$LK)t-wU%GF(zP_T9eJ_g;=W(oKnA8D61m=FfihaM8VI~wvyK_q zNWy}&z?01QJ8 z;9Ug+$>P?HN$Wfvc+6#gHe*3G(j*Cy-O1$pPRDz`bC0h46#Y%E*F-6(rR~G3$?~Oc zm@-&(#}W0`WEvIJ@0&8;YujS#hEPJ=XiJ^RW;s-J|K?^Kq{1vG`cF6Q%#^jgy(yb1 zeh-W|4tZH%x$z4x2Lz<>J+DpVqDx^dlwsWPOSjB6CA_4MM2lQ)d--+nys`Udl^!_? zr(Q?|^`C~UmE5yTlZy2|=&l2WAvjUgLs5S()%rFQ)SgK0M;G)jvA%sQ08Sls-H(Mzn0gxW z8vEwz2@}(MHVUwBND{Fk=MrJa>oWk%#%DHXqF>-nPclpoVq zY;uJut@O*TLJlx-z1Ix{XE+88Ts$x}j3dDrkY?k)%vm#{Nlf={f}EQ_c#>E6Umw*( zlzKs#gX^R7aCFry+QorUMef}WTy*WS%h=~X{N|*;z95C?ZWV|myfK~3vM=j`6Tr>$ z5Fan{JD9Dw$GskECG~!Elib`vQh|@_s`$o|O7>mVo>+b=eADQ5*_~m651`aZh-{hm zadhK`_+rYBdR7k+L99W~Z(~Cwgck|#s5B*~8tGyo%+24wmV<*C);TtjiOj*}yYIvT zPlO^r$$dMR(_N~SB{>&@aBG7Q$@uM8GvC3^j+AA?>f-t|g36qsLD%kZ#_z<;Gz<0> zCDn50+}KlBb}{?JoIp3(>gFMYqP2FqOM)C&DZICvJsJ=9TZC{n_xZb_H{|mbF+FZu@V_NicKW5&P)D zy5>i!bu(VNTXT+c>2q=a=dcC{s;ndbMpf( z9zkUGP2>!xVr$VET$#0wkqsv{uFvzrnzor*NR`k zQOCQ6qfMbSXe&lCv>IWuWh*(&riSZgbC5$mrx7wGEan3eEuguL5utK zBLXu1&HX27?UR0WA}pTx&QVJ_|6avjPh_E?H3bPeJKCXte+VC(d#~FjyKiy%@O~no zGhVvhb6iQBdS<;Qvrgyoi-g%1&uw7VZKVl(}GnvDBe_xEl) zBh7b9J1=j;aueDZk(XkNAi+WZRHzr|{EE+j;@lPhwR#{Ks&F=)J%qnekoKOZZXdp% zN_P%3^6|M^{VSwlFz10$=l)NI)d*p=u(2FG7I)u}?#i~tNOho1%s&Dm)t=qY>lM(H zTnt)!|BGNv>d#i++-+(5_Pt}9o!pb-Zut_On96iK%oA6%wcC4|y~W~HNqHQw+U*>( zAE+FMXWTBOJ;`$m8|OWENQ5yje!6#{^mYt(DCq4DrQGneiw-@bic!FhDnqPU=TWXb zy>v?831Jp$s|N`Us5WbMZtp+hul}1$mYlmjk?H<5hTR#J{zdrkTOzj`-f;H84BnoO zq37xD9#8iy2)YFvgF(VB3AxQe?~ZyqWOsKjDpSbCjBb;y|Hc#tz&0(_c0niK3cj=G z$Qrkkg+hzFVd_1KpKgqwS2x1Q#LwJ1f>c>;4WF^>Gqk_H@pXoIJ~z;r>p}vyyzVnn z*dD!ZMqMRjvwKc05wY5&62y?sB+!*!38~?6y}Pucs+>;6b%UN;sc&;ye#`Wd)o-eX zcjfBLq??8Ac&hOETi!MTNi_PBLXCJ}Q+Tt%C0RAA{@UwkT9AGZ-R+uwZDYf0|4A>Q zZ|@l*K4?N^TL}b@`V{AGyEtN}uMl}F_4uWj@!aqm0ZEG*P~$aB-p z06pFkWy-rMY>E}FDi!@K)o(8BfL8-wCb2_eu+=m5ENmV2I%6A*;a;wju3&sgUhd~L zP$-F4rX*Fy^&D&UF%g6D@tU!9r))+SwIVnBXngr@ZS9jh=Cz2YbpF?z->(TJ#Qn6B zNaJQ-3pyu)V9o;hOl&z0rq238FH6c>5-7twPI&=*a*5jVP6u*+_zkb zS&49`U(-!D!lrBRR6TrO0@?=ux1}E^{^md0`u3%?L%Yzkg0?V5IP7X0mEE7QJ4Xf3 zU0?7Kx%N1GaZdLah}4VlN=*0S!u|XujOMYhUI_ZWw@_2u&DyS^+|2tr1&t2>_mpZr z+KR@Q9!I3!@&IvZmqZ}fDn^9-rv(b_{wbv}_5K(-xXoBMt zYqu4|p=uNuUEXnTGT`{;JH10u%I>5^v&1d((ztNy&!4XwNIks<<38fpB)Di3M0s zoU`AZqx()L!o%sS&vMLW^SMx!2|ha&?6m-9Jf2V`)*h2clKEbIYR}7pv8B1_Ut!ux z^@V#!g3`9BnF24o=$cb*?EPeoo@W4nS@XAjzvCihT=W|M$pv7qxW>Sbh-~Ba^r7zX zYRB5uj7mjRn;CYuF;R2#F$h4`@=SBQ{~`kZAp^9=t3P>KdZknG3hk-@BFOE`jYLgKwmmk4*WxrROfI^Ikm?EE9(}& zYy5E>fCwH%4iRDPUmk5rfEL&;$m>FpGyv;CYxSrMC8Ucbkm9`Ua~||k1f$$sE{UOE zLcig=b>jUz)&AQ@a>HNkhX%f)6TeST*_l3xqLY+aNgSq5gjBe1z8DQHoY$k>$nsSiILoP- zc(v%Y(uqzx)?mb?PneG!CONjOC7+tafwSZ*uaj8+rG#hn zi5Ruo=^(qdXMQYO?I(j7L$+zPbtl33lgT>hpNbhhzRL>#Ovv_g_t!_(Q$zcRUzw-n2wW8B`Y!q z?%EpxRlf=s%A>(NagUoE!YE|=Sx|7cIr}V^c5Q+0M7ppWU`$8UmAj| z6ciw`TPHH|z(+2lH^l_dG!Xm3%n7kV2~$_SfwdJZ66yL%uJ!Ev@Fn}Ynelpa>-Mcy zcPfTYPf+HeOQ04wpoi5*#LMXx80O`Qw4>t{VW<3ZzUzkWc4G0fH@D9N&jcezNDh%) zkIB|&*-s1pF(&iIH7*7N>p-qwIrP+t@!kMR+;u9{Ww23KO`=#A#(97DZO5fN-U4vM z$#J9sRFC-gHtoA&7;Ay=$x3zz-4;X98$q@j@k3dH4K}Z_cqpA7$SEp0nX{v@(#+;t z{ofap*zG)NY}JB)e0g8yK8#LeR&$>XZ2l$IxwIIr%_6`N*Dm1i{N5kb^Rud$E;b|` z&}XQw6;J*DosL(f*TCyYhF0?}U9YHXcxurW28!T$>33!2D^P2WAwQDm>J5K)in2ru zyEg$}m5fDSt2o*p^@BGcliNHDi_2LeX_nlGV(+cLi6LxE)$dcM6EJb2956B3_3PFq zhz+N_i_ulx>dSG)_50QfPSMn_z4!so-SiuUL#`;A6H@qHwZcswdL<|1vlT|2Brm;v z!gr-%LZ;ZP>T6QxY<4F)$d=Zx(I34Rwxbn-&@1JW=BxMnk-Y|2y+(q7(M+Jm=+>S| z-7~g_FJPsVYidHTkeV*%wFJu!Xz`nGSZxq;WI9*H66!4;HkPw)m7EhV{R_ItQ&#R;J@W~MXFs}FR(D!`;C3N z2KKTExWVDRg7G9VQzu`rDXl7sOGkDsSI?5H#OQB?{Znhlllh=xo=)9qC!ZUWzF>?B z-M$`O5PkQ+MMQ;B*;jQ7_|`XFW+1iw$Yb~>h^2HR>rlhI14@R?$$mFZ9EO1B8e&JR z%-_rOX%(!_jQdYq1#yk2IV|b>(olDC0TcS?YVY#;P~B5t}YwXJM(= zSx`dW>Z?1MJRz5$Tx@{yLaJKAW8gddBqAJRS(*T6(64kGeV3)vjGN5upeX%XC#YW4 zLY?Now$inXwJ>WG#z4{c@vG${9E+uZ$+ssU_oX0TJ)bT|o}rR?e&P8T@uMLZkK{w9 zhhgoG10VBk!q~(%_1yeV!ZfW8#?t-zwG5Y00bcSF+U#jFY2c+ngT!o#ynKrB?18Z< zMzljz8AF@foui^%Iuknc5&03%nK$IJ&sra!d}D{7RS&+fzob`c1D5iJl>H*HfjW_Q zmkyq#Og;VP_m+-P@2s8K<vP~YGwBN4M@cI>)Ea624V8Hb{#BxWy%$D5emCq3O2b(vMxWJ*o;|Git zrB)EFRjSRL8@Z_ZkC7!k58LdE0!3i6df?uiH+7x$zm;gGFzRZx`;mW^)rj=kzGyz0 zgUB$BI8}o^Zdm3^LZwi;Bz*+lNgl)UTJb5P&bjyQEm-~8QCG?Hu=I{8^w&Z+&M-3w z_|hwjcjogz96zSJ^_muwK}=F&X^N48+X*RO$!EWQ$T zSL$Uz>L63z++nfQm<)u^ll*ZV%F6Ptz(X=%)Tb;|aApcB5oa{BxK71ZHq&(xO$3gR zU&xI(OK(_0S}!K>rQj(JLLW+U5j+6vSXNSj`On z8|gIAq!2~<@}z6xOFuoSj$*w?pcw(+p!BLysXU>G3d zZ5!fxDaTNh45_`Hl9~xD(sZ`RnsL>B$uNm*>@Hx5o0v-48WwKv(kYk}n<2iWdWo1+ zD^j}Sn0!?{vFiZ)Gbv#>iG3YT+BDFQR6_peh*Qz+OEr)kST8_dEe=UgDXDn*h1h@! za$zy<7NKFSCvnT2_@(`?f-F-0Z*+tjdiEehVtnl2^SO%0mM5sMVTe0!T;tR6b)Hg7 zLd20l2z34B+caho>zUfs^r-5iU4KBCi{~2-C zN8Wh90s}JRg?jxEp!j%R^XF*4v*pz&rIV$s0kjsk<(-HNpc4RniTF5ct!9-S9c{T< z7})URc4OdNdt-|Vt<^R=>2&w`il^C#^Zo8VR8*=#t2igf0IpH1O-*jBBK59}uSq-5 z(tUgKWm&cO&ab_oorOt@3EX#W7wR-&&Rh}O<+Qo!v^qZ|0Bt+j6t+|3@gn+N151^* z9LYNQNe9yXhT71ZHRRb7TP0(J#Mv0uBcg@mDUqZH>F~!*E_r2P#?-UqudmE7$1j>M z?`PEqV~CW~Y6FmdjBGF=3hfHe?lhSFD<_yE^NK{REq7CfRm%U6b@z*F)tH+9EGNpq zY#ldW`zt~|~Q|CtXMWH&;H~=_%;%ECCWU&ouVRe znf755o8Vwxu;G&%>A+#Z&bV$+A6oZZoo}R!gpIWwK|_j$w=1g@kZpsKa_?@EhMNyq;TsRqy(T1H!+#$~zIX0b&PPrO zG^0%>K-c`wu=ySjH`VPwtQyN~PB74Hp;~nc-n#DirN@Kht6o`Q-Y2-&c6L5$Z%R?S zJ_EM9BIb7ef8Bj$Sd?woE+vSRh|+>eiF7xJlt_s|cQ*{(h$!9Ct&~c4Ge`|Rgft8> zbPqN3>=E#J_q)IEeZS{`I#I(-NKi!`>-OFfD}}XB#`QuD zeo`S z(XThu$`bDRoCFh*C}22;x4B~$PvkPyy~?fGw$NG_8{u=a?q#6tDe-k-Z?H*kVRCT+ zO{$aeDk7XXLd5WBSA*0SvKo6xq{CdVO$x&f9OCfL>tP&fmG|`!dgAY6arXqzyw#^X{P7*biR!sM>o;LRgaEaCp=|T3au|5wvD_L4#hFbPw4!V z+(5?VMHL}A-XX8qRl2-%C!sb{=uryp?$A$+Y02a>0rjMV9G;y+`ih zU8Ai>I7&w_2hQE-)t_6!ST0m8l;`Xs=U<~JNB-XeiMO8UIco}g7T((mC(c(pWWfT! z>sL=KciM=%Z4p^Z^XWaIm%#2gA)3F^7X$=8mZshjc$B%puco;FQ?$Ga#kjCS)1KZh z|COJETn?wZ>}F{tXzy_w;622VE@i4BZE^h~;v69?_weE<_WeK^X)Y;2Xg^>Ro?EVg~uTnXb^J-fFd{`qg3@fAg znWO2}D*=z|ScC|kp4kR))~|T!j5{Y-0XH}5#uBlE#1oigD#O;yR}QxFPD=D=Wt&59 z2;Ww900W5Pn!;TLC~nxy-*h)0!4l>|h`f-NH(c^-xCul0E9bcKzXcP@laem-K!Bw_ znY(Iys%zYP+70!x-WS%G8>b27VxCQ37$Bz89l2`UhV0@xQQ_Sd7dKkIUZ~PsH^$k@ z{44mtqEZ z0goze-y6DMr)U+6h>avPqQscH%{}GEJ+Ru4lJjO*#iHHW2NJ$eGWoBcWRDaSyz8GC zV(ZhGQ&ied_llS;yZPp8Q6y|`mdond+hcI?_RW#)8NKo*_SIb_Fj_+UQ8;S8Ri{xl zbUdU(5v47$!)JfQ%SBS}-rqhXx%zDyzuUqKOFB&XoZRawnm%72DR<~sQ1PFdi2r#b zfXq$~_k#5HZJ9w7ALm>+t=r4napc%v&f~v-o2l5!I~qJqi+B5M{Uom{f8kgm`yJbT zDCo{!xA+G^?RSv!vPKaaTj)5Jj{)rDP1?C!+aosu#DiLV^9Tk^QpkS7{+*%Tddn#U<9j76M_>&4OYWlirztZ0L~+?1pLh3a;XKI|7*Xur#Mn^nu*}+g zS;nuO@m~ibc1~7gC@TZ#BF6w+uGUkbOQT5KdWS1f)myCQ2d`I3%&^6bP|~S)db<~1 z>@_jX8_r_fDhL~aUpcsuN_hd1;~MQs?hq>i}*o@M_h@k){3!krNZ@I-!+>c z-ydmjB-5sooAm9``uQ=W(*H>^Z1uKQgY>l-KIv|)Y*C@>QG&%+bW;vKW zAReNt8hOxi;b5#;f7Vdj6W6V}PEvYw?&$q^zt!FA4qVKejn8SfmVDr90XmF-+FSOE zG|MK|eTWHeH~WlxzS{%fdjfUfG=;w{XPL7@K3Z&w|5q>v0J(cGO&`)63S0?bPDsHn>_N`khSV$ zbk0}r2^RBe3ksn}69O5CpsQ`Zjz;PvQ*aI>URqDCuUsO+Um9x?O3v*Xblvs7cCgqHupcNz1$4rP~#AFO> z0T1_A%=e1!7Sr4MqO?=D$)Q6*>`#ZFHT4wIZEYwWkn)k(%kLf)$38kmzD|gA5}n zwW8jI4&;1=@-tB!yN3ZuJ<5qAz0WD{tId*$UGgI2Ev%v2;y%W>J;@fOGmXwMlv*7e z|9PPvS~|a%D-a=8VlBeNi=6g=aeD&umg1ta0qKPLRVZi;6G#@<3CZ@-^>^WUJ{=|d zBe0S3c;hB&3&ViVwucp%*+}wC*_o1=!QFy-Lh~Kx=md+vCvlZ;KWgY+lQm>Ht4~7R zV&?h9UHTs}xD=Qk*CGrNV&GSSV&2($`^@boPghrgdrHY-qi~$Ife{NNUEexY``5Uj zw2SA=Nj1sR$L{jMin8?UrddfSpv4a{>a4YC2lyyFbPAmcwMN;#& zFJyk$yTOiXBlN{IzIW{fs}k&6>K-Yx^)Bzb-CUsx3@F>a>`x~tsnI~6;%7r|)c?Hp zu2*kPMCDFZG{5xzP5MKUhQ|nZ98JVNvUU#X$-rOIc-_Q-3xfOmUREHoJ$G=# zfOt9N99Nq)@YH-lV1=GZ!e&!$?R^VwH65l8?#)0N^LJu(Lmtt>k8g(P|8#?VO(ND= zZwzK@*mg@KnaC-1?|zXs^XAskAcr?I2ar(Gsr128Q-v_8y~`Yfnz{k7X6+dZ5) zku$#)!7Pz9Qa#rp!$GW*a zZ}N6S&yW=Hd#E(m`Z#0jso>G}WrMIsk8E0CH{Jcxlg#-XS(5`s@}Wx2JqMm@aDfOr zdS*GpXN${D+m^hR*pKwjnD4aLk;^!#H`sq-Q_iHGI~a)I_r#QYPy#jCnW!e~EgI^9 zKQ!SiI`g*ovp(TxFBL0#eU`Y*InmE z1Ai+A*X<*#g)UWsd8A5kowKJ>TQH_g%CwQ_;Ge|-=t2yDutuv-UR%v8QaXI@LWO6IK6J-;pH8C&a|*(*+2b$;Cs;k_-d9&-QW-^POZ6r|?B zL?*pQ8qXxg#`eHr9aEH*>_-D~6oQS-{i{qBWx^@+RyUcs*Cq3uLd4lwoe{;Rc>!NumQTQC?IH%Z&KAr}z%To4JOIkfF9@i;fcR4d!M zXDo+E?@}WYRH;2;&m|zUn*r`-+`5-bu|VGcKO+f^r43WRZmwTq0k|{8?LQF<$gjkL zC`+gr~I2_-RH`p!(fU-$y(v`o_!Ic8|O*t5%dPxkp`BJsoHOFs`M;$_Ci7v zMI&UsSQ+K87>_$RV9RTF+$U7TJT`wU&NqMzxAKVxO!>aVebgtB+1WxUG;kZfBZ+C!9nj#^S2k^zf*H?#A30xA9ar_Iu$!a>@j~lf~ww<1{+vm z#-v>cAX&Tb>A>ynBomTKo8hl4*7t=P4ntR- z5<^M`pG{`bOy4s{>MD<3Dh7NGzZ3%~^vOxyfPbD7>#CPLL@PeBwfsX=s5e5a+V%ih zROkhhT35U)D|Md<%Bj9$-&#ybkr{phMh`MGRZI6%t&gVp1JrbhyaAajnw;qsLOrd* z+_&>;3^0;3GP|5)67zicZqRcwGJZ%j-%Er#krz=Or%pNCOxq|UQT&ytg+ufaP!!tDl zZ-KyF8TzZWLhABchPe+}#b`C&bMjM_2<+&pm`Wns#zPS@Es~n@?1%G=^>&}v8n@t@8XEKa$6W{^^*!L+f!ET`IXpyz ze%4a!%}<||I?J4qi)k{4CA}oBWs88hmzIbN4K6R2-rVi2!Ie`>Q7@PHoNqI_$m_~< zB0oVeCjYV`033Ugt|3z$0BJ?|{0}RF@_(=*KnWfB#wezW5!e4wEfj!V+iOx64d4zb zm|7zKE#7mtdsbXE#XzQB2AUOd@ew96nKI;v?_YKWp7R*5EsKDw+D}2`-%<`9i~X(} zNOmg#*!qmd&m(q6ZIsG(#ju77=?+6|&4N#3SFR)50;lCa2?MXYtrktjd|2gxm0FD& zz{s}k+&ziU3?u8Gsu3`cns1**7xAx;h-9Vxud4q6)Jg?td{(`xo_2Q5crtTN3zu0e z@ml0eOE>SID_Gq>&O2v++ zvM-*b*CcedA7H`mACi_a;2SoDL0$I~g;pKiaV#48!GH~`=qdXLM^zKqW>2MmpROp$0Vr+zr4h4z}nUgpCmac}bHu4@M#zWH7= z_NCC&9`AfU-%1C{u`}ZJv~-#NF2sDe9YI&0XUl~a&VbmqFEyq=D%I%pQ=s$uk)slE zn*sb%)BoO*RydZUyhqLz@~C*|}u0w>{p4#pIMvAnSuVqmWP1-VxE{ zNZE;-9Li_wjM+C-bpF0BL_oD`%$Z{+EUX>y-zV9&ta4BJQ_<&|#`j_j3p8?dT(WEE zX|95KN9_CwhouQqP|G9rQX7hZ(#g_2lvafE%>gvji0(N4*Q&QwsdRzzZf)k$ zFfjSQP`&#`GlW*FwgjEFx3Z>)dv#SB`rdyv4-M*pmc3mUuxa>6c0Q^1>Ng=`$u~z@ z2l8=iD%if^;B(E!k)Clpco3e2dar}CjoE97x z>7)D`QpkGEvRG+AG%I-C7CF=XHC9v_W#MAjT%&vX)62rmiN(xe*sfByT_@88vhqmsP4L|rN*E+3^Rm5$!gj5?V=>}-H_!x2aPt6peBHdO+>B!RW20+Z}8y?d~TCBA5c%?Nh7 zdiHW9=-`BQ%jZnTX3g=NAw+r@h$neXo6*V_$Q>i>UM;ac%>Kfm@mQjW+O+J11qxCC z?=EaB4Is7{YQ&yIY%(ExX3G2F+bZN%rLW#G$XD!VI~Ka`>}eVIf0+m3I|JBGOB8|Q z8(JqqDjR25ActUflgwBZ%*|-h1!V-6Q7-=@Czk zsCa+(sEPvx9nC##EC;LE`|?GT%R61u(=bdsVxY2!g$*hB?>fK#UnPL8<$s3&J`gV& z-M&$J=+)gB?!tLm0>PN*1L*B(=6iTki8CQWgqlSj3+>^ZIan~@NikiuT+q)a z3ZO$G>USkKP5(2s7?*X|#S|7m{+8za#Wm7zCH(WG&mx?7^e>V=WX;LDXuSo+1L##Z zezaTIW`&>+h+$_kURH9T@-dinR}r(teo&5gH>E3c<;;8+`$47dqlQ{6gB&5iVPlsA zTrdKmVgZ8g`dlBcJXW2z!gOPaJMXwnS^LVqAnogz9lN-Tb-6!LlZ_u+psmy!L-Wktzf-Tz}Mdu93OQkgj^ zDZOo>+;ans=I7Et1oc#vJ54%b$u!i0`C>3w6i>6}&UN__@D&?dFhK&7Q_!7GZf4wn zCd?u5fMRl5m1AX~b3 z&S%>Q=Tst5zZp)^n{S$XdmD$O48O$Ekh~ili$agqe9CdriA8pM<=GiT{A@C2att;> zf8jCx!29rxSiy^HzTi$7k->NLEzwzGxzx?9SMY+iM++R_l)iVZL8~T! zXL4xCB;4MIa7(_o?(Fb|GGAlnT6|WGY{8z570Oc8`sjIVz)7>E*5e{|2!0V>y2h7T zy?LC9Hr;Og#{`FD2d}9^-}M>!x21*-27{{aBpsx9`GmK(LB+UpWdDDF8#QcfUPmJC*mY2T;@>YIPH|0tpev@)>60$GADY1jDIz1l zA?5Ykt=*&;M*NX73S<5#_T_7Ub0UNVH12>xk;eYJ@GqVN#FgY^cOCD8Gw@A3URf#G zgiXBewN#?PiU==}RJ-Va2ZUfZ3XqGvZg@&b-|0W_G^KFg@{JCjyy56?EM9Z#&nR`{ zU&nF_{_KgN%gDdZj9oNgq=@|+UkfM*VNDwucNBQZYTG5CR<+JXprQh3@pepI+?ArS zZs>oDnw{|ZM!8n#rP~${f!FuoSie?pFs1|BKDpt!N-7qYpo{bK4=h^kZrzjtFyzmU&`j@WR&}*)J9G~Ox zdyIs}A9aUE1@C^tgeaA#zi;Ssri|aHChMM=9f^yJ9x0}=arT%MZ|LrB-u-Z`7I}Ii zDylSBS9hJw%Xui03*<|2*tPnkF>!Z4rKT#3z-1VjA7^OE)|N-UYvOfW9gGeCoXVc8 zpejUpxrJ+_YmAXckz%8~wkWX(O!|cy*~EYRNa#%}V2jsva}y9VHr<={^>RHu(%bAO zOzw5AXt|_VQI?UK^ef2Yd!C4MWp@xf+tLyK>x!Tg6$H(r;P~xB9|=(8`n3!5d5B%N zzG(~lV}75sjM>?|`@v@+H?cP*#ecZ<?Jtk#`0#}5RI2-p` z|3j{TH_{iq*o|jfywF0;SQCnRUBodoP%=KD(2cT1SzH#_Jto-@^F~E) zdc4&0x#;-h6pfqkx+zYU`F9rp-|jdM95s%zP?ynetc*GB&bx}&49$WV-1P?@=EUYW zx`v2;5;vYFC`H6Lm%|?=B^M@Mi;Zrv2M27``5y8(=N;k(H+2uiD+9fJl~vjKuOp(* zy#pdg;7j-Y&>t4x_T0v?FMKY~cDro@&K&yWo$oxL{eU8VC^asr73}m4hc$ctJ#l;` zN!9n^+H#2Hw~_Ln(*~M#S{rYR)f!$&72VV+uPPvZq9&ybK<9fZ<%@CWIenQh_}s9M zxf6qvtYZy+&PmI)EU*#Vv1gO@xw69@42>3B%JHPZP zuiEyIO`UK4g$;0x-Q8S3=<18Ew!gx0v<#GdBsp)(UoFbF2@-<~o;>k(weUIPU)X=r zri4yG+&g!;D3G$VNo_xe(sBxBo&8+nunJJ68+zda`_XMVKIK5Js>PWG`AN7j9nbu| zY2y5+!u2}#u^9X%@!go@k zayzJ*m^Jl#6Vt+oT@^)9x(U`?PvHQ?=TwR5t#5(a3HH}c?x?ND6;IiOKCZJ033Fsm zv1ABSb807vly7Q_Vyk~&(ru|);)AGEXUE+X;S?&qiha-dqBSy>4~=(<=f1%+wp+@E znAJlYj_4b?N@1z%jqe+c%6RtBuQQe-QLiXR0Z)F=G((zHAvL)LdRV1tY^dHlAAw{s z+=1n>&kMW_i6ZqygxC7JqgP^4*w)fO9g1^9MYO6YM2a@=L)5+TpN&VPa!B=xq9}jX zw#bIz6~8Z61e-nx(YshEcK$Jbq!8N6!{m0D=u_gv)>c!X-qN7zo!sqxa7XA+fB&~t zkg22oDg2&8Yt0bpeQ#eZVxUq#qtRe~h=6yK3Gs{vL)G-&Z6Ecj*xPQQqD9fvsa$a< zZ++(DT_EiRzy$D6k(H6E+{Y@FYs4GH7SwvzB{z_UiF&?6q;dni>LS*<3ggqd1?pR1 z0H!9Iy%zi!vLrwECC1Bx!{+2|XfkBVH<^l$IQ$=P5z!;_I{+F1m46S!8^m@TN1Jxi z4jotn42n7yT<-1Xn(N4hxa+ld_g8)#ZcYjc-aU>!n%`r&OMK6Fgyr)1PZaMU4~}Dc z#1Fp!*kQ8e@y3u6cP*oJJ84UtlGxnhFv95PiXS`u;K0MHcjQ~Nt&v@Z!cCP&il_x@ z1oAzgZ*{a_o-zTn(=o_TA|^)8SD}5;-E}kI+5P*`mp94rwRY)K7fLM3fat--eHJFH z+)SEio8I>vg!h)Od=NRcM!r-;L6U)z+>6&yrnZsQ4LQs9^7Y*1*C6A|R~FG9qpt_C z|Cr#jit)!tF-J7UylPeJnHR+m#|TRTJ{_Fvgs?zI z4{96Ni25_MwRL9~$H!M!6U2Q=={S1u&GkCxn9J1uHeLLyk56jA@>0sLc%!PSTxQ4+ z^_|P7_}1lR$Al%-rG8tr(ic2V99uS4LObO|%A!Q{K`uZ~rjT zd(?zH?X&#&BNHY-kmLSMiy^dcHAegO5 z&5N!lpk{dyxW9dS?;Hys&8+gN>_u9A0awXLyWxuq0f8;G8H4QuYBllU%1!h8K&FLX zSFgGJ`w|HEUl#kGoxP8NL#43aRq)f^V#O+kteDG`wo5`~$yY-`MlAe_U60M=y}eOC z+p!n?htJl|NOnbyef*3vASUW12vAQ9ImK5Kbp61sqQn!-7&PsWP6>K=Cn(D)Nip@; z*WZlcyNqs8DlB)f^g?e~b(xvwvvNQJ4Tn6OgfbUMKVeA`NyUA7D`E{I9`j&9^*=`S;{CmrM149RDdLZ^FA7IA72s}a}z!L^xs^8UTF(D{%I-umQj5}ab-Cb+1 zb)}x1pBUG@dMpUdr|-HH;RC)nxDZXE)OoM~8|$Nirm0;8z?uY(8tdEEnk70e2D-Ba zT1(c7RClH)^))&OO|TG0FyTfB0W^~p1c7kLaCirq4BoSJN-5*@)0@C4i=b!$u((zw)S;9#6 zgNqM72B?=Xr8A$BP-%M+^HEI`>BiSpjkS9$xqc)mw{eH3IV=np1o^O6W^Mr$CF24I zVJGr~Le^2}6538I`ywCL3(uak<%FkdLKdl&gu7Bl8+sSvkdC12Qz#orrw^%F_u}%Q z669*94^oROv2sVtB%x03penKHD6NL31AZ(`R
yPc|i`Y+=~o8IgdVQtMtu-VLh zx{voxPQQ&-@oed1ii0HCxMGquO7n32;et~ zbnmryQa7ox)g*3HPh-vK%y+(EK1c)nV?AvJvqe^ixA@W*ig*lbRu=sD9hW;}bl^bd zarRWE_63yLF?Zg^#&8xouIfV%tU;PrZFN2#F;XtN;M~#kBx=fW99?p2Ei^0FBWdqS z?)Xn^jX~W{n)7uJv6g0>DMYp!Qio2FW{!Uc_LBQvLVh4pMmex@e&jM~Uq7dmHeZPF z^qpE&Rh6KK+bz0=$8j{VfPwSD9*wKo|C?VfV9CILzt19 z)kbjEz3_3X)Nx*cVq$26orA|Gf}fbrNYV0b=NxQc?iPatArWbr5zFnl}0oA0?eGM|Nt&GbZ&Z0a?W#oM)1-$_Z@Oo$p-w<&_{!*e|=3wo2UQd3?| zHM*K5d69v2C2SswXcpLzk>4`kAxUjAZ%Naeo99VEWVSHcqgWOb+joJu+y=!L3=+BB zgd4oiw6{E51(FGb0>l-&t)Hxo>~xfZ$-D=IS(7HPMm5?gXAP&B#FmTlfV1mE^@jTt z-4BT}K?&^K%@cCope`q&#E~Kro6S7oL!U{;WR7@CFqM29Pc;-vxoqC;f>d*%8!#W@ zBM@FR%#@J3@VK4cyLd#;TtKJHgNf%ZyTv?hJ8myX|0d0S2Eo{R`UcMheEx)%dZ-^d z-oVpRcetru+tbn@2pg5=Eb~Rmu5*Vk_DIQI2weSOj3z%fg^OT2z-L)*)vM2=E^TMN zqC`u=86lA{IFsxzYX87DmTjk7RqV^wzW3cNo$eMTo2&(jDu;(BiU+zjYC8YnVF2}p#ck_yWLLAtpo%n#kwgwd(|TEd1Yfudt|l+Bs)c<2;eD|K60D;m=R>R zcfyfz8v>~fiDYa(pV#JETI7{z7aGSGRKh6Q}2t zAX2}F6<`Hu?|sfNGN>vSA~zoPgYdP$>cTflo*aU4d`Zp@tar(8_+=guhPqID?v^za zR9Gkb5g?itXU-%HK%!V&hKKih_?zhVz1L5N_cgwCRKUR8N$U?LFAgyFs6BkE_-qaN zZY+Fk3cq=~G_HTyc2}G|9i4%ondBCstl%%5Vc^>S%>r>GLb3kQ^h~r{6-Ck5cUTYi;vRhZo#~K@`?R7mnCfkcx!<0g~PG5YWpc?G`y; zatjU2)AdFJkJ^yYTw{!D%F*3JGhyfS>jXYnD>Xk)^F>Gb4T4He_v@GapX)VS3DH>r z-?5I(1`5tDYL<_7e(sUYaRm`t7=D2-PLM;i_sC*WB;6`i%_{oaRXN}LUKm@QJ$Mez ze9~e4Mn>H#tH!W3czfmhs?DkyrZJ20^!JFb6aq0?SX#;T=~dBn>#jHItf$e;73^b# zdnbRi;?%g#)mFJGIEIRl0v?k&P?oh*wFD_ans|IPdh(VjdtiwREdUg;8uk9-va~=B zS?CFVI1vl){oF8zNY&+=m|soJ*u;KH!{Hvwo~FGfYceX4XQsXj>xH8Oey^Y$P5*c>LR#sh zAs@fyosatU zHxH#>?14BOCZ5{3&J)|X^ez7kGQKNWxpSeIF;>S$ZkNy3y!9)PkGq!Kq756AHk6eI z%t+La7+J{7^qooaa-kTqJ=prb?|F^~3D8;(}wITg`z z68?oPME!8gA%>DGA*~UG!m_1A68W`udOvkl!@i~O;q)!G`n~AH+tM?=;Lo8<2wcWT zHaX?4WIKkr;%EPiPl$>%zs{H%9PiT}m*-cEJ?AN6)s&MsPNY;pYnR-f2(t#?-{qlS z0(PvKLYCg^XAhv!3pEKTfelwHAJ`1s&hb2D=tKl(ubxGEQ9AjQaG-z z$9_H9Z9J~b_P0*RpW}}Mhi&cxS6d!TM{Mml2`RkM^E6d zB^_ll2{Qy3dMP?2*}n*v&u6cI4Hy<>t7cSD78RUF#;2)u`Mniy`kaBl=&L?_)xIcw zGe9$)07Zf-K+B$tp+~z33arIj-`5)(En4meh`#;EtOCd$m3+u+bToqdGz2rs$#=|zG=&GA~vf`Cr4-3Pm`4+RbG zr|vh5@}l@Yr!vg-Nf+wdC-h2qTfT$y@tIm~N||>M7X>}MAt9aG zhpcd&cE02`F0Wob-R(|j#_G&+AD0(wc&wn}!+UXZ`A1Z?_t3Xf=CXM1a)SQ>HbA*g zJZ8`j4uTlRW!rSEoOVuX1&e3PqQ)@aLqr*)iCHEMDU`lGd~QZCxh63uUDWd9_FTs3 zglsFxt&cYY3}gTX2sgIWm4=o=zDEnby`f{7;a3k+Fq3pkz;0BRkA8!9~+soh_$(2+Z}fc ztgA*y=h}M=^}ME$&FuBQLs9e5?BOcEVm%Ga04$yRNk$c0$>GMHSEsVj`k;Ur$TIs| zWtV2oty{My_K&XEzV{$&e_3hiSV>t@{ZxHB1*IJ`sliZeml3r427PG?@7Q3_AaCSJL8he&KM@jqC-VJM_poqf*M(CBWV*ynCYY`l)0||bMJ9B%&8Kj-Ef%4- z?~A3Zr8Qshj_SVphb+d%Ub_k7lrpzY08dy&P4zEf!+LqM3PO7qpVM({r@U_{(IJb{ zD5qgJF33MU4PpY?`fhLIU3#svbgE4tWv4xk#XK3z-oSQO3{FNOO3$eyt47?S35|gMP*N<;%Y#=eGAr4AoqE zC~8TnGSP1nu0Br%0G|E&i&el%X@@YW-BY~wb?Hp2ZBdyJ0(vpyN?>_ zerS2rO%)lKRg@v~aQN-yV@8&tz-{l!vf8BPFJDv^dDz&}*S=ft zuW5&JAcf2g(WZ2)SgPsU*eg_S^Udd8!YOZrYS6sHpIwjF48^ zoKucEF+N$7a#mE-3>e+K;fMEg<7+#q-0?eKHA`rl^W(cyr+p)@-d5aC2g@M!5%ux$ z&D$lvD21cb=a-S%6%}fRt9*J7+9i=f8f>$dc;(TcKhbjvXk5JHumiwe{ z;j{45Rb@3vuucO&t4di>leu36&-b0^Wm{p{Wbkrkh75uG;g;WeEjI?aSe(X<+UE>$ zS{b0~!VqY!d;5vE!K0`c^R-pt6_ks7<}*>T@H{$^Ukp6UctoRl?qz1w9;t|lLRJVz z`@1cVE$-&eONiymNil;O Date: Thu, 21 Sep 2017 23:40:01 -0400 Subject: [PATCH 4/4] Added X to MUX truth table --- WRITEUP.md | 17 ++++++++--------- multiplexer.t.v | 16 ++++++++-------- 2 files changed, 16 insertions(+), 17 deletions(-) diff --git a/WRITEUP.md b/WRITEUP.md index 5af0604..1587bb3 100644 --- a/WRITEUP.md +++ b/WRITEUP.md @@ -25,16 +25,15 @@ The multiplexer is two stages of selectors cascaded together, similar to the dec ### Test Bench Output ``` A1 A0 | I0 I1 I2 I3 | O | Expected Output -0 0 | 0 1 1 1 | 0 | Input 0 -0 0 | 1 0 0 0 | 1 | Input 0 -0 1 | 1 0 1 1 | 0 | Input 1 -0 1 | 0 1 0 0 | 1 | Input 1 -1 0 | 1 1 0 1 | 0 | Input 2 -1 0 | 0 0 1 0 | 1 | Input 2 -1 1 | 1 1 1 0 | 0 | Input 3 -1 1 | 0 0 0 1 | 1 | Input 3 +0 0 | 0 x x x | 0 | Input 0 +0 0 | 1 x x x | 1 | Input 0 +0 1 | x 0 x x | 0 | Input 1 +0 1 | x 1 x x | 1 | Input 1 +1 0 | x x 0 x | 0 | Input 2 +1 0 | x x 1 x | 1 | Input 2 +1 1 | x x x 0 | 0 | Input 3 +1 1 | x x x 1 | 1 | Input 3 ``` -Is there a good way to define X as an input for truth-table verification? ### Waveforms ![multiplexer.png](multiplexer.png) diff --git a/multiplexer.t.v b/multiplexer.t.v index e7ff6bb..6bad945 100644 --- a/multiplexer.t.v +++ b/multiplexer.t.v @@ -13,21 +13,21 @@ module testMultiplexer (); $dumpfile("multiplexer.vcd"); $dumpvars(0,multiplexer); $display("A1 A0 | I0 I1 I2 I3 | O | Expected Output"); - addr0=0;addr1=0;in0=0;in1=1;in2=1;in3=1; #1000 + addr0=0;addr1=0;in0=0;in1=1'bX;in2=1'bX;in3=1'bX; #1000 $display("%b %b | %b %b %b %b | %b | Input 0", addr1, addr0, in0, in1, in2, in3, out); - addr0=0;addr1=0;in0=1;in1=0;in2=0;in3=0; #1000 + addr0=0;addr1=0;in0=1;in1=1'bX;in2=1'bX;in3=1'bX; #1000 $display("%b %b | %b %b %b %b | %b | Input 0", addr1, addr0, in0, in1, in2, in3, out); - addr0=1;addr1=0;in0=1;in1=0;in2=1;in3=1; #1000 + addr0=1;addr1=0;in0=1'bX;in1=0;in2=1'bX;in3=1'bX; #1000 $display("%b %b | %b %b %b %b | %b | Input 1", addr1, addr0, in0, in1, in2, in3, out); - addr0=1;addr1=0;in0=0;in1=1;in2=0;in3=0; #1000 + addr0=1;addr1=0;in0=1'bX;in1=1;in2=1'bX;in3=1'bX; #1000 $display("%b %b | %b %b %b %b | %b | Input 1", addr1, addr0, in0, in1, in2, in3, out); - addr0=0;addr1=1;in0=1;in1=1;in2=0;in3=1; #1000 + addr0=0;addr1=1;in0=1'bX;in1=1'bX;in2=0;in3=1'bX; #1000 $display("%b %b | %b %b %b %b | %b | Input 2", addr1, addr0, in0, in1, in2, in3, out); - addr0=0;addr1=1;in0=0;in1=0;in2=1;in3=0; #1000 + addr0=0;addr1=1;in0=1'bX;in1=1'bX;in2=1;in3=1'bX; #1000 $display("%b %b | %b %b %b %b | %b | Input 2", addr1, addr0, in0, in1, in2, in3, out); - addr0=1;addr1=1;in0=1;in1=1;in2=1;in3=0; #1000 + addr0=1;addr1=1;in0=1'bX;in1=1'bX;in2=1'bX;in3=0; #1000 $display("%b %b | %b %b %b %b | %b | Input 3", addr1, addr0, in0, in1, in2, in3, out); - addr0=1;addr1=1;in0=0;in1=0;in2=0;in3=1; #1000 + addr0=1;addr1=1;in0=1'bX;in1=1'bX;in2=1'bX;in3=1; #1000 $display("%b %b | %b %b %b %b | %b | Input 3", addr1, addr0, in0, in1, in2, in3, out); end