From 94e87143b840a677a340be4ee03ca88237f63dbc Mon Sep 17 00:00:00 2001 From: Akash Levy Date: Mon, 4 Aug 2025 15:50:04 -0700 Subject: [PATCH 1/9] SDC strip escaped bus indices --- include/sta/Sta.hh | 16 +++++++++ include/sta/Variables.hh | 21 ++++++++++++ sdc/Variables.cc | 6 ++++ search/Search.i | 62 ++++++++++++++++++++++++++++++++++ search/Sta.cc | 62 ++++++++++++++++++++++++++++++++++ tcl/Variables.tcl | 42 +++++++++++++++++++++++ test/regression_vars.tcl | 1 + test/sdc_strip_escaped_bus.ok | 2 ++ test/sdc_strip_escaped_bus.tcl | 21 ++++++++++++ test/sdc_strip_escaped_bus.v | 22 ++++++++++++ util/PatternMatch.cc | 31 +++++++++++++++-- 11 files changed, 284 insertions(+), 2 deletions(-) create mode 100644 test/sdc_strip_escaped_bus.ok create mode 100644 test/sdc_strip_escaped_bus.tcl create mode 100644 test/sdc_strip_escaped_bus.v diff --git a/include/sta/Sta.hh b/include/sta/Sta.hh index f7b233da4..88627cbf5 100644 --- a/include/sta/Sta.hh +++ b/include/sta/Sta.hh @@ -1331,6 +1331,22 @@ public: // TCL variable sta_input_port_default_clock. bool useDefaultArrivalClock() const; void setUseDefaultArrivalClock(bool enable); + // TCL variable sta_boolean_props_as_int. + bool booleanPropsAsInt() const; + void setBooleanPropsAsInt(bool enable); + // TCL variable sta_direction_props_short. + bool directionPropsShort() const; + void setDirectionPropsShort(bool enable); + // TCL variable liberty_line_debug. + bool libertyLineDebug() const; + void setLibertyLineDebug(bool enable); + // TCL variable sta_no_inv_delay_calc. + bool noInvDelayCalc() const; + void setNoInvDelayCalc(bool enable); + // TCL variable sta_strip_escaped_bus. + bool stripEscapedBus() const; + void setStripEscapedBus(bool enable); +>>>>>>> b140a217 (SDC strip escaped bus indices) //////////////////////////////////////////////////////////////// Properties &properties() { return properties_; } diff --git a/include/sta/Variables.hh b/include/sta/Variables.hh index 1d60408ec..55677cd9c 100644 --- a/include/sta/Variables.hh +++ b/include/sta/Variables.hh @@ -78,7 +78,23 @@ public: void setUseDefaultArrivalClock(bool enable); bool pocvEnabled() const { return pocv_enabled_; } void setPocvEnabled(bool enabled); + // TCL variable sta_boolean_props_as_int. + bool booleanPropsAsInt() const { return boolean_props_as_int_; } + void setBooleanPropsAsInt(bool enable) { boolean_props_as_int_ = enable; } + // TCL variable sta_direction_props_short. + bool directionPropsShort() const { return direction_props_short_; } + void setDirectionPropsShort(bool enable) { direction_props_short_ = enable; } + // TCL variable liberty_line_debug. + bool libertyLineDebug() const { return liberty_line_debug_; } + void setLibertyLineDebug(bool enable) { liberty_line_debug_ = enable; } + // TCL variable no_inv_delay_calc. + bool noInvDelayCalc() const { return no_inv_delay_calc_; } + void setNoInvDelayCalc(bool enable) { no_inv_delay_calc_ = enable; } + // TCL variable sta_strip_escaped_bus. + bool stripEscapedBus() const { return strip_escaped_bus_; } + void setStripEscapedBus(bool enable) { strip_escaped_bus_ = enable; } +>>>>>>> b140a217 (SDC strip escaped bus indices) private: bool crpr_enabled_; CrprMode crpr_mode_; @@ -94,6 +110,11 @@ private: bool propagate_all_clks_; bool use_default_arrival_clock_; bool pocv_enabled_; + bool boolean_props_as_int_; + bool direction_props_short_; + bool liberty_line_debug_; + bool no_inv_delay_calc_; + bool strip_escaped_bus_; }; } // namespace diff --git a/sdc/Variables.cc b/sdc/Variables.cc index 1cb10bd0a..1f11dd1d0 100644 --- a/sdc/Variables.cc +++ b/sdc/Variables.cc @@ -41,6 +41,12 @@ Variables::Variables() : propagate_all_clks_(false), use_default_arrival_clock_(false), pocv_enabled_(false) + pocv_enabled_(false), + boolean_props_as_int_(true), + direction_props_short_(false), + liberty_line_debug_(false), + no_inv_delay_calc_(false), + strip_escaped_bus_(false) { } diff --git a/search/Search.i b/search/Search.i index 3e2e761aa..2dbbb7d8c 100644 --- a/search/Search.i +++ b/search/Search.i @@ -1182,6 +1182,68 @@ levelize() sta->levelize()->levelize(); } + +bool +boolean_props_as_int() +{ + return Sta::sta()->booleanPropsAsInt(); +} + +void +set_boolean_props_as_int(bool enable) +{ + Sta::sta()->setBooleanPropsAsInt(enable); +} + +bool +direction_props_short() +{ + return Sta::sta()->directionPropsShort(); +} + +void +set_direction_props_short(bool enable) +{ + Sta::sta()->setDirectionPropsShort(enable); +} + +bool +liberty_line_debug() +{ + return Sta::sta()->libertyLineDebug(); +} + +void +set_liberty_line_debug(bool enable) +{ + Sta::sta()->setLibertyLineDebug(enable); +} + +bool +no_inv_delay_calc() +{ + return Sta::sta()->noInvDelayCalc(); +} + +void +set_no_inv_delay_calc(bool enable) +{ + Sta::sta()->setNoInvDelayCalc(enable); +} + +bool +strip_escaped_bus() +{ + return Sta::sta()->stripEscapedBus(); +} + +void +set_strip_escaped_bus(bool enable) +{ + Sta::sta()->setStripEscapedBus(enable); +} + +>>>>>>> b140a217 (SDC strip escaped bus indices) %} // inline //////////////////////////////////////////////////////////////// diff --git a/search/Sta.cc b/search/Sta.cc index 99675388a..e583d0fd8 100644 --- a/search/Sta.cc +++ b/search/Sta.cc @@ -2401,6 +2401,68 @@ Sta::setClkThruTristateEnabled(bool enable) } } + +bool +Sta::booleanPropsAsInt() const +{ + return variables_->booleanPropsAsInt(); +} + +void +Sta::setBooleanPropsAsInt(bool enable) +{ + variables_->setBooleanPropsAsInt(enable); +} + +bool +Sta::directionPropsShort() const +{ + return variables_->directionPropsShort(); +} + +void +Sta::setDirectionPropsShort(bool enable) +{ + variables_->setDirectionPropsShort(enable); +} + +bool +Sta::libertyLineDebug() const +{ + return variables_->libertyLineDebug(); +} + +void +Sta::setLibertyLineDebug(bool enable) +{ + variables_->setLibertyLineDebug(enable); +} + +bool +Sta::noInvDelayCalc() const +{ + return variables_->noInvDelayCalc(); +} + +void +Sta::setNoInvDelayCalc(bool enable) +{ + variables_->setNoInvDelayCalc(enable); +} + +bool +Sta::stripEscapedBus() const +{ + return variables_->stripEscapedBus(); +} + +void +Sta::setStripEscapedBus(bool enable) +{ + variables_->setStripEscapedBus(enable); +} + +>>>>>>> b140a217 (SDC strip escaped bus indices) //////////////////////////////////////////////////////////////// Corner * diff --git a/tcl/Variables.tcl b/tcl/Variables.tcl index a019c2959..27ae8682e 100644 --- a/tcl/Variables.tcl +++ b/tcl/Variables.tcl @@ -168,6 +168,48 @@ proc trace_pocv_enabled { name1 name2 op } { pocv_enabled set_pocv_enabled } + +trace variable ::sta_boolean_props_as_int "rw" \ + sta::trace_boolean_props_as_int + +proc trace_boolean_props_as_int { name1 name2 op } { + trace_boolean_var $op ::sta_boolean_props_as_int \ + boolean_props_as_int set_boolean_props_as_int +} + +trace variable ::sta_direction_props_short "rw" \ + sta::trace_direction_props_short + +proc trace_direction_props_short { name1 name2 op } { + trace_boolean_var $op ::sta_direction_props_short \ + direction_props_short set_direction_props_short +} + +trace variable ::sta_liberty_line_debug "rw" \ + sta::trace_liberty_line_debug + +proc trace_liberty_line_debug { name1 name2 op } { + trace_boolean_var $op ::sta_liberty_line_debug \ + liberty_line_debug set_liberty_line_debug +} + +trace variable ::sta_no_inv_delay_calc "rw" \ + sta::trace_no_inv_delay_calc + +proc trace_no_inv_delay_calc { name1 name2 op } { + trace_boolean_var $op ::sta_no_inv_delay_calc \ + no_inv_delay_calc set_no_inv_delay_calc +} + +trace variable ::sta_strip_escaped_bus "rw" \ + sta::trace_strip_escaped_bus + +proc trace_strip_escaped_bus { name1 name2 op } { + trace_boolean_var $op ::sta_strip_escaped_bus \ + strip_escaped_bus set_strip_escaped_bus +} + +>>>>>>> b140a217 (SDC strip escaped bus indices) # Report path numeric field width is digits + extra. set report_path_field_width_extra 5 diff --git a/test/regression_vars.tcl b/test/regression_vars.tcl index f3eb42e97..005a9f8b2 100644 --- a/test/regression_vars.tcl +++ b/test/regression_vars.tcl @@ -158,6 +158,7 @@ record_sta_tests { report_checks_src_attr report_json1 report_json2 + sdc_strip_escaped_bus suppress_msg verilog_attribute verilog_specify diff --git a/test/sdc_strip_escaped_bus.ok b/test/sdc_strip_escaped_bus.ok new file mode 100644 index 000000000..369616281 --- /dev/null +++ b/test/sdc_strip_escaped_bus.ok @@ -0,0 +1,2 @@ +Error: sdc_strip_escaped_bus.tcl line 11, pin 'a' not found. +Error: sdc_strip_escaped_bus.tcl line 12, pin 'y' not found. diff --git a/test/sdc_strip_escaped_bus.tcl b/test/sdc_strip_escaped_bus.tcl new file mode 100644 index 000000000..a852b48d3 --- /dev/null +++ b/test/sdc_strip_escaped_bus.tcl @@ -0,0 +1,21 @@ +# sdc clock annotation for 2d array + +# Load design and create clock +set sta_continue_on_error 1 +read_verilog sdc_strip_escaped_bus.v +link_design sdc_strip_escaped_bus +create_clock -name clk -period 1000 + +# sta_strip_escaped_bus 0: should produce errors for { a } and { y } +set sta_strip_escaped_bus 0 +set_input_delay -clock clk 3 { a } +set_output_delay -clock clk 3 { y } +set_input_delay -clock clk 4 { a[0] } +set_output_delay -clock clk 4 { y[0] } + +# sta_strip_escaped_bus 1 +set sta_strip_escaped_bus 1 +set_input_delay -clock clk 0 { a } +set_output_delay -clock clk 0 { y } +set_input_delay -clock clk 1 { a[0] } +set_output_delay -clock clk 1 { y[0] } diff --git a/test/sdc_strip_escaped_bus.v b/test/sdc_strip_escaped_bus.v new file mode 100644 index 000000000..ba81f2463 --- /dev/null +++ b/test/sdc_strip_escaped_bus.v @@ -0,0 +1,22 @@ +module sdc_strip_escaped_bus(\a[3] , \a[2] , \a[1] , \a[0] , \y[3] , \y[2] , \y[1] , \y[0] ); + input [3:0] \a[0] ; + wire [3:0] \a[0] ; + input [3:0] \a[1] ; + wire [3:0] \a[1] ; + input [3:0] \a[2] ; + wire [3:0] \a[2] ; + input [3:0] \a[3] ; + wire [3:0] \a[3] ; + output [3:0] \y[0] ; + wire [3:0] \y[0] ; + output [3:0] \y[1] ; + wire [3:0] \y[1] ; + output [3:0] \y[2] ; + wire [3:0] \y[2] ; + output [3:0] \y[3] ; + wire [3:0] \y[3] ; + assign \y[0] = \a[0] ; + assign \y[1] = \a[1] ; + assign \y[2] = \a[2] ; + assign \y[3] = \a[3] ; +endmodule diff --git a/util/PatternMatch.cc b/util/PatternMatch.cc index 2dd32df92..d875c19ba 100644 --- a/util/PatternMatch.cc +++ b/util/PatternMatch.cc @@ -23,11 +23,14 @@ // This notice may not be removed or altered from any source distribution. #include "PatternMatch.hh" +#include "Sta.hh" #include +#include #include namespace sta { +using std::regex; using std::string; PatternMatch::PatternMatch(const char *pattern, @@ -117,13 +120,35 @@ PatternMatch::match(const string &str) const return match(str.c_str()); } +string +stripEscapedBus(string str) +{ + // strip escaped bus indices from str + // bus\[8\] -> bus + // bus\[8\]\[7\] -> bus + // bus\[8\]\[7\]\[6\] -> bus + // bus\[8\].hello -> bus\[8\].hello + // bus\[hello\].world -> bus\[hello\].world + // etc. + string result = str; + regex trailing_numeric_pattern(R"(\\\[\s*\d+\s*\\\]$)"); + string prev_result; + do { + prev_result = result; + result = std::regex_replace(result, trailing_numeric_pattern, ""); + } while (result != prev_result); + return result; +} + bool PatternMatch::match(const char *str) const { if (regexp_) return Tcl_RegExpExec(nullptr, regexp_, str, str) == 1; else - return patternMatch(pattern_, str); + return patternMatch(pattern_, str) + || (Sta::sta()->stripEscapedBus() && + patternMatch(pattern_, stripEscapedBus(str).c_str())); } bool @@ -132,7 +157,9 @@ PatternMatch::matchNoCase(const char *str) const if (regexp_) return Tcl_RegExpExec(0, regexp_, str, str) == 1; else - return patternMatchNoCase(pattern_, str, nocase_); + return patternMatchNoCase(pattern_, str, nocase_) + || (Sta::sta()->stripEscapedBus() && + patternMatchNoCase(pattern_, stripEscapedBus(str).c_str(), nocase_)); } //////////////////////////////////////////////////////////////// From bb58db5cb3cf273e30d4188caac66a433fbf01de Mon Sep 17 00:00:00 2001 From: Natalia Date: Mon, 24 Nov 2025 13:00:03 -0800 Subject: [PATCH 2/9] Strip escaped bus indices in SDC --- include/sta/Sta.hh | 1 - network/ParseBus.cc | 2 ++ search/Search.i | 2 +- search/Sta.cc | 2 +- tcl/Variables.tcl | 1 - 5 files changed, 4 insertions(+), 4 deletions(-) diff --git a/include/sta/Sta.hh b/include/sta/Sta.hh index 88627cbf5..ac0c74953 100644 --- a/include/sta/Sta.hh +++ b/include/sta/Sta.hh @@ -1346,7 +1346,6 @@ public: // TCL variable sta_strip_escaped_bus. bool stripEscapedBus() const; void setStripEscapedBus(bool enable); ->>>>>>> b140a217 (SDC strip escaped bus indices) //////////////////////////////////////////////////////////////// Properties &properties() { return properties_; } diff --git a/network/ParseBus.cc b/network/ParseBus.cc index 18ca03f30..cec784834 100644 --- a/network/ParseBus.cc +++ b/network/ParseBus.cc @@ -79,6 +79,7 @@ parseBusName(const char *name, string &bus_name, int &index) { + printf("Parsing bus name 1: %s\n", name); is_bus = false; size_t len = strlen(name); // Shortest bus name is a[0]. @@ -134,6 +135,7 @@ parseBusName(const char *name, int &to, bool &subscript_wild) { + printf("Parsing bus name 2: %s\n", name); is_bus = false; is_range = false; subscript_wild = false; diff --git a/search/Search.i b/search/Search.i index 2dbbb7d8c..f8e4583d6 100644 --- a/search/Search.i +++ b/search/Search.i @@ -1243,7 +1243,7 @@ set_strip_escaped_bus(bool enable) Sta::sta()->setStripEscapedBus(enable); } ->>>>>>> b140a217 (SDC strip escaped bus indices) + %} // inline //////////////////////////////////////////////////////////////// diff --git a/search/Sta.cc b/search/Sta.cc index e583d0fd8..8de974a1d 100644 --- a/search/Sta.cc +++ b/search/Sta.cc @@ -2462,7 +2462,7 @@ Sta::setStripEscapedBus(bool enable) variables_->setStripEscapedBus(enable); } ->>>>>>> b140a217 (SDC strip escaped bus indices) + //////////////////////////////////////////////////////////////// Corner * diff --git a/tcl/Variables.tcl b/tcl/Variables.tcl index 27ae8682e..0b05ffe1e 100644 --- a/tcl/Variables.tcl +++ b/tcl/Variables.tcl @@ -209,7 +209,6 @@ proc trace_strip_escaped_bus { name1 name2 op } { strip_escaped_bus set_strip_escaped_bus } ->>>>>>> b140a217 (SDC strip escaped bus indices) # Report path numeric field width is digits + extra. set report_path_field_width_extra 5 From bf6f64885f0ae1e3fcb94aa03f4663fdf6cb86bf Mon Sep 17 00:00:00 2001 From: nataliakokoromyti <126305457+nataliakokoromyti@users.noreply.github.com> Date: Tue, 16 Dec 2025 14:32:02 -0800 Subject: [PATCH 3/9] Update Variables.hh --- include/sta/Variables.hh | 17 ----------------- 1 file changed, 17 deletions(-) diff --git a/include/sta/Variables.hh b/include/sta/Variables.hh index 55677cd9c..eaadc6976 100644 --- a/include/sta/Variables.hh +++ b/include/sta/Variables.hh @@ -78,23 +78,10 @@ public: void setUseDefaultArrivalClock(bool enable); bool pocvEnabled() const { return pocv_enabled_; } void setPocvEnabled(bool enabled); - // TCL variable sta_boolean_props_as_int. - bool booleanPropsAsInt() const { return boolean_props_as_int_; } - void setBooleanPropsAsInt(bool enable) { boolean_props_as_int_ = enable; } - // TCL variable sta_direction_props_short. - bool directionPropsShort() const { return direction_props_short_; } - void setDirectionPropsShort(bool enable) { direction_props_short_ = enable; } - // TCL variable liberty_line_debug. - bool libertyLineDebug() const { return liberty_line_debug_; } - void setLibertyLineDebug(bool enable) { liberty_line_debug_ = enable; } - // TCL variable no_inv_delay_calc. - bool noInvDelayCalc() const { return no_inv_delay_calc_; } - void setNoInvDelayCalc(bool enable) { no_inv_delay_calc_ = enable; } // TCL variable sta_strip_escaped_bus. bool stripEscapedBus() const { return strip_escaped_bus_; } void setStripEscapedBus(bool enable) { strip_escaped_bus_ = enable; } ->>>>>>> b140a217 (SDC strip escaped bus indices) private: bool crpr_enabled_; CrprMode crpr_mode_; @@ -110,10 +97,6 @@ private: bool propagate_all_clks_; bool use_default_arrival_clock_; bool pocv_enabled_; - bool boolean_props_as_int_; - bool direction_props_short_; - bool liberty_line_debug_; - bool no_inv_delay_calc_; bool strip_escaped_bus_; }; From 830439f948c478eb4c9c10553dbe951621e75e4b Mon Sep 17 00:00:00 2001 From: nataliakokoromyti <126305457+nataliakokoromyti@users.noreply.github.com> Date: Tue, 16 Dec 2025 14:37:24 -0800 Subject: [PATCH 4/9] Update Sta.hh --- include/sta/Sta.hh | 12 ------------ 1 file changed, 12 deletions(-) diff --git a/include/sta/Sta.hh b/include/sta/Sta.hh index ac0c74953..ada783017 100644 --- a/include/sta/Sta.hh +++ b/include/sta/Sta.hh @@ -1331,18 +1331,6 @@ public: // TCL variable sta_input_port_default_clock. bool useDefaultArrivalClock() const; void setUseDefaultArrivalClock(bool enable); - // TCL variable sta_boolean_props_as_int. - bool booleanPropsAsInt() const; - void setBooleanPropsAsInt(bool enable); - // TCL variable sta_direction_props_short. - bool directionPropsShort() const; - void setDirectionPropsShort(bool enable); - // TCL variable liberty_line_debug. - bool libertyLineDebug() const; - void setLibertyLineDebug(bool enable); - // TCL variable sta_no_inv_delay_calc. - bool noInvDelayCalc() const; - void setNoInvDelayCalc(bool enable); // TCL variable sta_strip_escaped_bus. bool stripEscapedBus() const; void setStripEscapedBus(bool enable); From 91087d554334ff358ed0da0ff6b42efca5bd5ea4 Mon Sep 17 00:00:00 2001 From: nataliakokoromyti <126305457+nataliakokoromyti@users.noreply.github.com> Date: Tue, 16 Dec 2025 14:40:37 -0800 Subject: [PATCH 5/9] Update Variables.cc --- sdc/Variables.cc | 5 ----- 1 file changed, 5 deletions(-) diff --git a/sdc/Variables.cc b/sdc/Variables.cc index 1f11dd1d0..f5d32ecd5 100644 --- a/sdc/Variables.cc +++ b/sdc/Variables.cc @@ -40,12 +40,7 @@ Variables::Variables() : dynamic_loop_breaking_(false), propagate_all_clks_(false), use_default_arrival_clock_(false), - pocv_enabled_(false) pocv_enabled_(false), - boolean_props_as_int_(true), - direction_props_short_(false), - liberty_line_debug_(false), - no_inv_delay_calc_(false), strip_escaped_bus_(false) { } From e359e107ad37404cd2ddd4bad0f1ad61d37dacf4 Mon Sep 17 00:00:00 2001 From: nataliakokoromyti <126305457+nataliakokoromyti@users.noreply.github.com> Date: Tue, 16 Dec 2025 14:42:40 -0800 Subject: [PATCH 6/9] Update ParseBus.cc --- network/ParseBus.cc | 2 -- 1 file changed, 2 deletions(-) diff --git a/network/ParseBus.cc b/network/ParseBus.cc index cec784834..18ca03f30 100644 --- a/network/ParseBus.cc +++ b/network/ParseBus.cc @@ -79,7 +79,6 @@ parseBusName(const char *name, string &bus_name, int &index) { - printf("Parsing bus name 1: %s\n", name); is_bus = false; size_t len = strlen(name); // Shortest bus name is a[0]. @@ -135,7 +134,6 @@ parseBusName(const char *name, int &to, bool &subscript_wild) { - printf("Parsing bus name 2: %s\n", name); is_bus = false; is_range = false; subscript_wild = false; From 89ee96150319f0e2d2f39e708ce7d159ca833448 Mon Sep 17 00:00:00 2001 From: nataliakokoromyti <126305457+nataliakokoromyti@users.noreply.github.com> Date: Tue, 16 Dec 2025 14:46:17 -0800 Subject: [PATCH 7/9] Update Search.i --- search/Search.i | 49 ------------------------------------------------- 1 file changed, 49 deletions(-) diff --git a/search/Search.i b/search/Search.i index f8e4583d6..fcd97ae8c 100644 --- a/search/Search.i +++ b/search/Search.i @@ -1182,55 +1182,6 @@ levelize() sta->levelize()->levelize(); } - -bool -boolean_props_as_int() -{ - return Sta::sta()->booleanPropsAsInt(); -} - -void -set_boolean_props_as_int(bool enable) -{ - Sta::sta()->setBooleanPropsAsInt(enable); -} - -bool -direction_props_short() -{ - return Sta::sta()->directionPropsShort(); -} - -void -set_direction_props_short(bool enable) -{ - Sta::sta()->setDirectionPropsShort(enable); -} - -bool -liberty_line_debug() -{ - return Sta::sta()->libertyLineDebug(); -} - -void -set_liberty_line_debug(bool enable) -{ - Sta::sta()->setLibertyLineDebug(enable); -} - -bool -no_inv_delay_calc() -{ - return Sta::sta()->noInvDelayCalc(); -} - -void -set_no_inv_delay_calc(bool enable) -{ - Sta::sta()->setNoInvDelayCalc(enable); -} - bool strip_escaped_bus() { From d8dfa5f70b98803fa2abba9106e96617d825f3cc Mon Sep 17 00:00:00 2001 From: nataliakokoromyti <126305457+nataliakokoromyti@users.noreply.github.com> Date: Tue, 16 Dec 2025 14:47:46 -0800 Subject: [PATCH 8/9] Update Sta.cc --- search/Sta.cc | 49 ------------------------------------------------- 1 file changed, 49 deletions(-) diff --git a/search/Sta.cc b/search/Sta.cc index 8de974a1d..94eb17a9b 100644 --- a/search/Sta.cc +++ b/search/Sta.cc @@ -2401,55 +2401,6 @@ Sta::setClkThruTristateEnabled(bool enable) } } - -bool -Sta::booleanPropsAsInt() const -{ - return variables_->booleanPropsAsInt(); -} - -void -Sta::setBooleanPropsAsInt(bool enable) -{ - variables_->setBooleanPropsAsInt(enable); -} - -bool -Sta::directionPropsShort() const -{ - return variables_->directionPropsShort(); -} - -void -Sta::setDirectionPropsShort(bool enable) -{ - variables_->setDirectionPropsShort(enable); -} - -bool -Sta::libertyLineDebug() const -{ - return variables_->libertyLineDebug(); -} - -void -Sta::setLibertyLineDebug(bool enable) -{ - variables_->setLibertyLineDebug(enable); -} - -bool -Sta::noInvDelayCalc() const -{ - return variables_->noInvDelayCalc(); -} - -void -Sta::setNoInvDelayCalc(bool enable) -{ - variables_->setNoInvDelayCalc(enable); -} - bool Sta::stripEscapedBus() const { From 3ef5f0989b64240d868a1b03844ba089cc0e3443 Mon Sep 17 00:00:00 2001 From: nataliakokoromyti <126305457+nataliakokoromyti@users.noreply.github.com> Date: Tue, 16 Dec 2025 14:56:11 -0800 Subject: [PATCH 9/9] Update Variables.tcl --- tcl/Variables.tcl | 33 --------------------------------- 1 file changed, 33 deletions(-) diff --git a/tcl/Variables.tcl b/tcl/Variables.tcl index 0b05ffe1e..04ede46fa 100644 --- a/tcl/Variables.tcl +++ b/tcl/Variables.tcl @@ -168,39 +168,6 @@ proc trace_pocv_enabled { name1 name2 op } { pocv_enabled set_pocv_enabled } - -trace variable ::sta_boolean_props_as_int "rw" \ - sta::trace_boolean_props_as_int - -proc trace_boolean_props_as_int { name1 name2 op } { - trace_boolean_var $op ::sta_boolean_props_as_int \ - boolean_props_as_int set_boolean_props_as_int -} - -trace variable ::sta_direction_props_short "rw" \ - sta::trace_direction_props_short - -proc trace_direction_props_short { name1 name2 op } { - trace_boolean_var $op ::sta_direction_props_short \ - direction_props_short set_direction_props_short -} - -trace variable ::sta_liberty_line_debug "rw" \ - sta::trace_liberty_line_debug - -proc trace_liberty_line_debug { name1 name2 op } { - trace_boolean_var $op ::sta_liberty_line_debug \ - liberty_line_debug set_liberty_line_debug -} - -trace variable ::sta_no_inv_delay_calc "rw" \ - sta::trace_no_inv_delay_calc - -proc trace_no_inv_delay_calc { name1 name2 op } { - trace_boolean_var $op ::sta_no_inv_delay_calc \ - no_inv_delay_calc set_no_inv_delay_calc -} - trace variable ::sta_strip_escaped_bus "rw" \ sta::trace_strip_escaped_bus